diff --git a/clock/c/Listings/STARTUP.lst b/clock/c/Listings/STARTUP.lst index 7a773ab..d3db2e9 100644 --- a/clock/c/Listings/STARTUP.lst +++ b/clock/c/Listings/STARTUP.lst @@ -1,4 +1,4 @@ -A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 1 +A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 1 MACRO ASSEMBLER A51 V8.2.7.0 @@ -65,7 +65,7 @@ LOC OBJ LINE SOURCE 55 ; 56 ; Stack Space for reentrant functions in the SMALL model. 57 ; IBPSTACK: Enable SMALL model reentrant stack - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 2 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 2 58 ; Stack space for reentrant functions in the SMALL model. 0000 59 IBPSTACK EQU 0 ; set to 1 if small reentrant is used. @@ -133,7 +133,7 @@ LOC OBJ LINE SOURCE 121 122 EXTRN CODE (?C_START) 123 PUBLIC ?C_STARTUP - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 3 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 3 124 ---- 125 CSEG AT 0 @@ -201,7 +201,7 @@ LOC OBJ LINE SOURCE 187 ; This code is required if you use L51_BANK.A51 with Banking Mode 4 188 ; Code Banking 189 ; Select Bank 0 for L51_BANK.A51 Mode 4 - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 4 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 4 190 @@ -212,7 +212,7 @@ LOC OBJ LINE SOURCE 0009 020000 F 196 LJMP ?C_START 197 198 END - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 5 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 5 SYMBOL TABLE LISTING ------ ----- ------- diff --git a/clock/c/Listings/clock.lst b/clock/c/Listings/clock.lst index cc89c39..04394ed 100644 --- a/clock/c/Listings/clock.lst +++ b/clock/c/Listings/clock.lst @@ -1,4 +1,4 @@ -C51 COMPILER V9.59.0.0 CLOCK 05/23/2018 00:05:56 PAGE 1 +C51 COMPILER V9.59.0.0 CLOCK 10/13/2018 20:41:27 PAGE 1 C51 COMPILER V9.59.0.0, COMPILATION OF MODULE CLOCK @@ -11,134 +11,143 @@ line level source 1 #include 2 #define uint unsigned int 3 #define uchar unsigned char - 4 sbit led1=P1^0; + 4 5 sbit k1=P1^1; 6 sbit k2=P1^2; 7 sbit k3=P1^3; 8 sbit k4=P1^4; 9 sbit k5=P1^5; - 10 - 11 void xiaoai(); - 12 uchar code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; - 13 uchar code wei[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; - 14 uint miao,fen,xiaoshi,ge,shi,bai,qian,wan,shiwan,t; - 15 - 16 void times(uint z) - 17 { - 18 1 uint i,j; - 19 1 for(i=0; i ?PR?MAIN?CLOCK ?PR?MAIN?CLOCK - +--> ?PR?XIAOAI?CLOCK + +--> ?PR?DISPLAY?CLOCK -?PR?XIAOAI?CLOCK +?PR?DISPLAY?CLOCK +--> ?CO?CLOCK +--> ?PR?_TIMES?CLOCK SYMBOL TABLE OF MODULE: .\Objects\clock (?C_STARTUP) - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 2 + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 2 VALUE TYPE NAME ---------------------------------- ------- MODULE ?C_STARTUP - C:0A4DH SEGMENT ?C_C51STARTUP + C:0273H SEGMENT ?C_C51STARTUP I:001CH SEGMENT ?STACK C:0000H PUBLIC ?C_STARTUP D:00E0H SYMBOL ACC @@ -77,7 +76,7 @@ SYMBOL TABLE OF MODULE: .\Objects\clock (?C_STARTUP) N:0000H SYMBOL IBPSTACK N:0100H SYMBOL IBPSTACKTOP N:0080H SYMBOL IDATALEN - C:0A50H SYMBOL IDATALOOP + C:0276H SYMBOL IDATALOOP N:0000H SYMBOL PBPSTACK N:0100H SYMBOL PBPSTACKTOP N:0000H SYMBOL PDATALEN @@ -86,178 +85,183 @@ SYMBOL TABLE OF MODULE: .\Objects\clock (?C_STARTUP) N:0000H SYMBOL PPAGEENABLE D:00A0H SYMBOL PPAGE_SFR D:0081H SYMBOL SP - C:0A4DH SYMBOL STARTUP1 + C:0273H SYMBOL STARTUP1 N:0000H SYMBOL XBPSTACK N:0000H SYMBOL XBPSTACKTOP N:0000H SYMBOL XDATALEN N:0000H SYMBOL XDATASTART C:0000H LINE# 126 - C:0A4DH LINE# 133 - C:0A4FH LINE# 134 - C:0A50H LINE# 135 - C:0A51H LINE# 136 - C:0A53H LINE# 185 - C:0A56H LINE# 196 + C:0273H LINE# 133 + C:0275H LINE# 134 + C:0276H LINE# 135 + C:0277H LINE# 136 + C:0279H LINE# 185 + C:027CH LINE# 196 ------- ENDMOD ?C_STARTUP ------- MODULE CLOCK C:0000H SYMBOL _ICE_DUMMY_ - C:0800H PUBLIC xiaoai + D:0008H PUBLIC second D:0080H PUBLIC P0 D:0090H PUBLIC P1 D:00A0H PUBLIC P2 B:00A8H.7 PUBLIC EA - D:0008H PUBLIC shiwan + D:000AH PUBLIC minute B:0090H.1 PUBLIC k1 B:0090H.2 PUBLIC k2 B:0090H.3 PUBLIC k3 B:0090H.4 PUBLIC k4 B:0090H.5 PUBLIC k5 - C:0A02H PUBLIC _times - C:08F9H PUBLIC main - D:000AH PUBLIC miao - C:0A22H PUBLIC duan - D:000CH PUBLIC qian - D:000EH PUBLIC bai - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 3 - - + B:0090H.6 PUBLIC k6 + C:0228H PUBLIC _times + C:0107H PUBLIC main + C:0248H PUBLIC duan D:0089H PUBLIC TMOD - D:0010H PUBLIC fen - D:0012H PUBLIC shi - C:0A32H PUBLIC wei - D:0014H PUBLIC wan + D:000CH PUBLIC hour + D:000EH PUBLIC one + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 3 + + + C:0258H PUBLIC wei + D:0010H PUBLIC ten B:00A8H.1 PUBLIC ET0 - D:0016H PUBLIC ge + D:0012H PUBLIC oht D:008CH PUBLIC TH0 D:008AH PUBLIC TL0 B:0088H.4 PUBLIC TR0 - D:0018H PUBLIC t - D:001AH PUBLIC xiaoshi - C:0A3AH PUBLIC int0 - C:09FEH SYMBOL L?0042 - ------- PROC L?0041 - ------- ENDPROC L?0041 - C:09FEH SYMBOL L?0042 + D:0014H PUBLIC tthousand + D:0016H PUBLIC thousand + D:0018H PUBLIC hundred + D:001AH PUBLIC t + C:000EH PUBLIC display + C:0260H PUBLIC int0 + C:0224H SYMBOL L?0047 + ------- PROC L?0046 + ------- ENDPROC L?0046 + C:0224H SYMBOL L?0047 ------- PROC _TIMES D:0006H SYMBOL z ------- DO D:0004H SYMBOL i D:0002H SYMBOL j ------- ENDDO - C:0A02H LINE# 16 - C:0A02H LINE# 17 - C:0A02H LINE# 19 - C:0A0CH LINE# 20 - C:0A21H LINE# 21 + C:0228H LINE# 17 + C:0228H LINE# 18 + C:0228H LINE# 20 + C:0232H LINE# 21 + C:0247H LINE# 22 ------- ENDPROC _TIMES - ------- PROC XIAOAI - C:0800H LINE# 23 - C:0800H LINE# 24 - C:0800H LINE# 25 - C:080FH LINE# 26 - C:081EH LINE# 27 - C:082DH LINE# 28 - C:083CH LINE# 29 - C:084BH LINE# 30 - C:085AH LINE# 32 - C:086AH LINE# 33 - C:0871H LINE# 34 - C:0878H LINE# 35 - C:087BH LINE# 37 - C:088BH LINE# 38 - C:088EH LINE# 39 - C:0891H LINE# 40 - C:0894H LINE# 42 - C:08A4H LINE# 43 - C:08A7H LINE# 44 - C:08AAH LINE# 45 - C:08ADH LINE# 47 - C:08BDH LINE# 48 - C:08C0H LINE# 49 - C:08C3H LINE# 50 - C:08C6H LINE# 52 - C:08D6H LINE# 53 - C:08D9H LINE# 54 - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 4 + ------- PROC DISPLAY + C:000EH LINE# 24 + C:000EH LINE# 25 + C:000EH LINE# 26 + C:001DH LINE# 27 + C:002CH LINE# 28 + C:003BH LINE# 29 + C:004AH LINE# 30 + C:0059H LINE# 31 + C:0068H LINE# 33 + C:0078H LINE# 34 + C:007FH LINE# 35 + C:0086H LINE# 36 + C:0089H LINE# 38 + C:0099H LINE# 39 + C:009CH LINE# 40 + C:009FH LINE# 41 + C:00A2H LINE# 43 + C:00B2H LINE# 44 + C:00B5H LINE# 45 + C:00B8H LINE# 46 + C:00BBH LINE# 48 + C:00CBH LINE# 49 + C:00CEH LINE# 50 + C:00D1H LINE# 51 + C:00D4H LINE# 53 + C:00E4H LINE# 54 + C:00E7H LINE# 55 + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 4 - C:08DCH LINE# 55 - C:08DFH LINE# 57 - C:08EFH LINE# 58 - C:08F2H LINE# 59 - C:08F5H LINE# 60 - C:08F8H LINE# 61 - ------- ENDPROC XIAOAI + C:00EAH LINE# 56 + C:00EDH LINE# 58 + C:00FDH LINE# 59 + C:0100H LINE# 60 + C:0103H LINE# 61 + C:0106H LINE# 62 + ------- ENDPROC DISPLAY ------- PROC MAIN - C:08F9H LINE# 63 - C:08F9H LINE# 64 - C:08F9H LINE# 65 - C:08FBH LINE# 66 - C:08FEH LINE# 67 - C:0900H LINE# 68 - C:0903H LINE# 69 - C:0906H LINE# 71 - C:0906H LINE# 72 - C:0906H LINE# 73 - C:0909H LINE# 75 - C:090CH LINE# 76 - C:0910H LINE# 77 - C:0913H LINE# 78 - C:0917H LINE# 79 - C:091AH LINE# 80 - C:091FH LINE# 81 - C:0923H LINE# 82 - C:0927H LINE# 83 - C:0929H LINE# 84 - C:092FH LINE# 85 - C:092FH LINE# 86 - C:0937H LINE# 87 - C:093AH LINE# 88 - C:093DH LINE# 89 - C:093FH LINE# 90 - C:093FH LINE# 91 - C:0945H LINE# 92 - C:094DH LINE# 93 - C:0950H LINE# 94 - C:0953H LINE# 95 - C:0955H LINE# 96 - C:0955H LINE# 99 - C:095DH LINE# 100 - C:0961H LINE# 101 - C:0969H LINE# 102 - C:096BH LINE# 103 - C:0973H LINE# 104 - C:0977H LINE# 105 - C:097FH LINE# 106 - C:0981H LINE# 107 - C:0989H LINE# 108 - C:098DH LINE# 109 - C:0995H LINE# 110 - C:0997H LINE# 111 - C:099FH LINE# 112 - C:09A3H LINE# 114 - C:09A6H LINE# 115 + C:0107H LINE# 64 + C:0107H LINE# 65 + C:0107H LINE# 66 + C:0109H LINE# 67 + C:010BH LINE# 68 + C:010EH LINE# 69 + C:0110H LINE# 70 + C:0113H LINE# 71 + C:0116H LINE# 73 + C:0116H LINE# 74 + C:0116H LINE# 75 + C:0119H LINE# 77 + C:011CH LINE# 78 + C:0120H LINE# 79 + C:0123H LINE# 80 + C:0127H LINE# 81 + C:012AH LINE# 82 + C:012FH LINE# 83 + C:0133H LINE# 84 + C:0137H LINE# 85 + C:0139H LINE# 86 + C:013FH LINE# 87 + C:013FH LINE# 88 + C:0147H LINE# 89 + C:014AH LINE# 90 + C:014DH LINE# 91 + C:014FH LINE# 92 + C:014FH LINE# 93 + C:0155H LINE# 94 + C:015DH LINE# 95 + C:0160H LINE# 96 + C:0163H LINE# 97 + C:0165H LINE# 98 + C:0165H LINE# 99 + C:016BH LINE# 100 + C:0173H LINE# 101 + C:0176H LINE# 102 + C:0179H LINE# 103 + C:017BH LINE# 104 + C:017BH LINE# 107 + C:0183H LINE# 108 + C:0187H LINE# 109 + C:018FH LINE# 110 + C:0191H LINE# 111 + C:0199H LINE# 112 + C:019DH LINE# 113 + C:01A5H LINE# 114 + C:01A7H LINE# 115 + C:01AFH LINE# 116 + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 5 + + + C:01B3H LINE# 117 + C:01BBH LINE# 118 + C:01BDH LINE# 119 + C:01C5H LINE# 120 + C:01C9H LINE# 121 + C:01C9H LINE# 123 + C:01CCH LINE# 124 ------- ENDPROC MAIN - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 5 - - ------- PROC INT0 - C:0A3AH LINE# 118 - C:0A3CH LINE# 120 - C:0A44H LINE# 121 - C:0A47H LINE# 122 - C:0A4AH LINE# 123 + C:0260H LINE# 127 + C:0262H LINE# 129 + C:026AH LINE# 130 + C:026DH LINE# 131 + C:0270H LINE# 132 ------- ENDPROC INT0 ------- ENDMOD CLOCK ------- MODULE ?C?UIDIV - C:09A9H PUBLIC ?C?UIDIV + C:01CFH PUBLIC ?C?UIDIV ------- ENDMOD ?C?UIDIV -****************************************************************************** -* RESTRICTED VERSION WITH 0800H BYTE CODE SIZE LIMIT; USED: 020AH BYTE (25%) * -****************************************************************************** - -Program Size: data=29.0 xdata=0 code=607 +Program Size: data=29.0 xdata=0 code=631 LINK/LOCATE RUN COMPLETE. 0 WARNING(S), 0 ERROR(S) diff --git a/clock/c/Objects/STARTUP.obj b/clock/c/Objects/STARTUP.obj index e6972dc..97bee00 100644 Binary files a/clock/c/Objects/STARTUP.obj and b/clock/c/Objects/STARTUP.obj differ diff --git a/clock/c/Objects/clock b/clock/c/Objects/clock index 380166f..9b302c6 100644 Binary files a/clock/c/Objects/clock and b/clock/c/Objects/clock differ diff --git a/clock/c/Objects/clock.build_log.htm b/clock/c/Objects/clock.build_log.htm index a880c8c..6d3f9e1 100644 --- a/clock/c/Objects/clock.build_log.htm +++ b/clock/c/Objects/clock.build_log.htm @@ -5,10 +5,10 @@

Tool Versions:

IDE-Version: ¦̀Vision V5.25.3.0 Copyright (C) 2018 ARM Ltd and ARM Germany GmbH. All rights reserved. -License Information: a a, a, LIC=---- +License Information: aixiao Microsoft, Microsoft, LIC=FQZGK-ZL4VP-SQ609-4ELSE-V5YI3-SSTM8 Tool Versions: -Toolchain: PK51 Prof. Develpers Kit Version: 9.59.0.0 +Toolchain: MDK51 Professional Version: 9.59.0.0 Toolchain Path: C:\Keil_v5\C51\BIN C Compiler: C51.exe V9.59.0.0 Assembler: A51.exe V8.2.7.0 @@ -18,15 +18,15 @@ Hex Converter: OH51.exe V2.7.0.0 CPU DLL: S8051.DLL V3.122.0.0 Dialog DLL: DP51.DLL V2.66.0.0

Project:

-C:\Users\aixiao.me\Desktop\clock\c\clock.uvproj -Project File Date: 05/23/2018 +C:\Users\niuyuling\Desktop\clock\c\clock.uvproj +Project File Date: 10/13/2018

Output:

Rebuild target 'Target 1' assembling STARTUP.A51... compiling clock.c... linking... -Program Size: data=29.0 xdata=0 code=607 +Program Size: data=29.0 xdata=0 code=631 creating hex file from ".\Objects\clock"... ".\Objects\clock" - 0 Error(s), 0 Warning(s). Build Time Elapsed: 00:00:00 diff --git a/clock/c/Objects/clock.hex b/clock/c/Objects/clock.hex index 1b8c219..b94cade 100644 --- a/clock/c/Objects/clock.hex +++ b/clock/c/Objects/clock.hex @@ -1,45 +1,47 @@ -:03000000020A4DA4 -:0C0A4D00787FE4F6D8FD75811B0208F9E3 -:100A2200C0F9A4B0999282F880908883C6A1868E7C -:080A32007FBFDFEFF7FBFDFEC3 -:0409FE00E493F5A0E9 -:100A0200E4FDFCC3ED9FEC9E5015E4FBFA0BBB002A -:0F0A1200010AEB64794A70F50DBD00010C80E418 -:010A210022B2 -:10080000AE0AAF0B7C007D0A1209A98C168D17AEBB -:100810000AAF0B7C007D0A1209A98E128F13AE104D -:10082000AF117C007D0A1209A98C0E8D0FAE10AF9E -:10083000117C007D0A1209A98E0C8F0DAE1AAF1B18 -:100840007C007D0A1209A98C148D15AE1AAF1B7C91 -:10085000007D0A1209A98E088F0974222517F582D6 -:10086000740A3516F583E493F580900A39E493F51C -:10087000A07F017E00120A0275A0FF74222513F5E5 -:1008800082740A3512F583E493F580900A381209D0 -:10089000FE75A0FF7422250FF582740A350EF583CC -:1008A000E493F580900A371209FE75A0FF742225A3 -:1008B0000DF582740A350CF583E493F580900A36C1 -:1008C0001209FE75A0FF74222515F582740A3514ED -:1008D000F583E493F580900A351209FE75A0FF7444 -:1008E000222509F582740A3508F583E493F5809092 -:0908F0000A341209FE75A0FF2272 -:1008F900D2AF758901D2A9758C3C758AAF120800EF -:10090900209104D28C8045209204C28C803E209391 -:100919000FE4F50AF50BF510F511F51AF51B802C06 -:10092900208C132094100511E511700205102094F4 -:100939001B12080080F8208C13209510051BE51B5D -:100949007002051A20950512080080F8E51964144B -:100959004518700EF518F519050BE50B703C050ADD -:100969008038E50B643C450A700EF50AF50B051154 -:10097900E511702605108022E511643C4510700EC2 -:10098900F510F511051BE51B7010051A800CE51B08 -:100999006418451A7004F51AF51B120800020906B5 -:03000B00020A3AAC -:100A3A00C0E00519E51970020518758C3C758AAF76 -:030A4A00D0E032C7 -:1009A900BC000BBE0029EF8DF084FFADF022E4CC32 -:1009B900F875F008EF2FFFEE33FEEC33FCEE9DECFB -:1009C900984005FCEE9DFE0FD5F0E9E4CEFD22ED41 -:1009D900F8F5F0EE8420D21CFEADF075F008EF2F8B -:1009E900FFED33FD4007985006D5F0F222C398FD7C -:0509F9000FD5F0EA2219 +:0300000002027386 +:0C027300787FE4F6D8FD75811B020107BE +:10024800C0F9A4B0999282F880908883C6A1868E5E +:080258007FBFDFEFF7FBFDFEA5 +:04022400E493F5A0CA +:10022800E4FDFCC3ED9FEC9E5015E4FBFA0BBB000C +:0F023800010AEB64794A70F50DBD00010C80E4FA +:010247002294 +:10000E00AE08AF097C007D0A1201CF8C0E8D0FAEAB +:10001E0008AF097C007D0A1201CF8E108F11AE0A37 +:10002E00AF0B7C007D0A1201CF8C188D19AE0AAF72 +:10003E000B7C007D0A1201CF8E168F17AE0CAF0D02 +:10004E007C007D0A1201CF8C148D15AE0CAF0D7C89 +:10005E00007D0A1201CF8E128F137448250FF58280 +:10006E007402350EF583E493F58090025FE493F508 +:10007E00A07F017E0012022875A0FF74482511F59D +:10008E008274023510F583E493F58090025E1202BD +:10009E002475A0FF74482519F58274023518F5836E +:1000AE00E493F58090025D12022475A0FF7448253A +:1000BE0017F58274023516F583E493F58090025C91 +:1000CE0012022475A0FF74482515F58274023514AA +:1000DE00F583E493F58090025B12022475A0FF7401 +:1000EE00482513F58274023512F583E493F580905A +:0900FE00025A12022475A0FF222F +:10010700D28CD2AF758901D2A9758C3C758AAF1292 +:10011700000E209104D28C805B209204C28C805404 +:1001270020930FE4F508F509F50AF50BF50CF50D25 +:100137008042208C132094100509E50970020508F8 +:1001470020943112000E80F8208C13209510050B97 +:10015700E50B7002050A20951B12000E80F8208C13 +:1001670013209610050DE50D7002050C209605125B +:10017700000E80F8E51B6414451A700EF51AF51B7E +:100187000509E509703C05088038E509643C450820 +:10019700700EF508F509050BE50B7026050A802298 +:1001A700E50B643C450A700EF50AF50B050DE50DE8 +:1001B7007010050C800CE50D6418450C7004F50CE7 +:0801C700F50D12000E020116F5 +:03000B000202608E +:10026000C0E0051BE51B7002051A758C3C758AAF52 +:03027000D0E032A9 +:1001CF00BC000BBE0029EF8DF084FFADF022E4CC14 +:1001DF00F875F008EF2FFFEE33FEEC33FCEE9DECDD +:1001EF00984005FCEE9DFE0FD5F0E9E4CEFD22ED23 +:1001FF00F8F5F0EE8420D21CFEADF075F008EF2F6D +:10020F00FFED33FD4007985006D5F0F222C398FD5D +:05021F000FD5F0EA22FA :00000001FF diff --git a/clock/c/Objects/clock.obj b/clock/c/Objects/clock.obj index 153dfb4..936ed66 100644 Binary files a/clock/c/Objects/clock.obj and b/clock/c/Objects/clock.obj differ diff --git a/clock/c/clock.c b/clock/c/clock.c index be2b7da..aebac87 100644 --- a/clock/c/clock.c +++ b/clock/c/clock.c @@ -1,17 +1,18 @@ #include #define uint unsigned int #define uchar unsigned char -sbit led1=P1^0; + sbit k1=P1^1; sbit k2=P1^2; sbit k3=P1^3; sbit k4=P1^4; sbit k5=P1^5; +sbit k6=P1^6; -void xiaoai(); +void display(); uchar code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; uchar code wei[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; -uint miao,fen,xiaoshi,ge,shi,bai,qian,wan,shiwan,t; +uint second, minute, hour, one, ten, hundred, thousand, tthousand, oht, t; void times(uint z) { @@ -20,41 +21,41 @@ void times(uint z) for(j=0; j<121; j++); } -void xiaoai() +void display() { - ge=miao%10; - shi=miao/10; - bai=fen%10; - qian=fen/10; - wan=xiaoshi%10; - shiwan=xiaoshi/10; + one=second%10; + ten=second/10; + hundred=minute%10; + thousand=minute/10; + tthousand=hour%10; + oht=hour/10; - P0=duan[ge]; + P0=duan[one]; P2=wei[7]; times(1); P2=0xff; - P0=duan[shi]; + P0=duan[ten]; P2=wei[6]; times(1); P2=0xff; - P0=duan[bai]; + P0=duan[hundred]; P2=wei[5]; times(1); P2=0xff; - P0=duan[qian]; + P0=duan[thousand]; P2=wei[4]; times(1); P2=0xff; - P0=duan[wan]; + P0=duan[tthousand]; P2=wei[3]; times(1); P2=0xff; - P0=duan[shiwan]; + P0=duan[oht]; P2=wei[2]; times(1); P2=0xff; @@ -62,57 +63,65 @@ void xiaoai() void main() { + TR0=1; EA=1; TMOD=0x01; ET0=1; TH0=(65535-50000)/256; TL0=(65535-50000)%256; -while(1) -{ - xiaoai(); - - if(k1==0) - TR0=1; - else if(k2==0) - TR0=0; - else if(k3==0) { - miao=0; - fen=0; - xiaoshi=0; - } - else if(TR0==0&&k4==0) + while(1) { - fen=fen+1; - while(!k4) { - xiaoai(); + display(); + + if(k1==0) + TR0=1; + else if(k2==0) + TR0=0; + else if(k3==0) { + second=0; + minute=0; + hour=0; } - } - else if(TR0==0&&k5==0) { - xiaoshi=xiaoshi+1; - while(!k5) { - xiaoai(); + else if(TR0==0 && k4==0) + { + second=second+1; + while(!k4) { + display(); + } + } + else if(TR0==0 && k5==0) { + minute=minute+1; + while(!k5) { + display(); + } + } + else if(TR0==0 && k6==0) { + hour=hour+1; + while(!k6) { + display(); + } } - } - if(t==20){ - t=0; - miao=miao+1; + if(t==20){ + t=0; + second=second+1; + } + else if(second==60) { + second=0; + minute=minute+1; + } + else if(minute==60) { + minute=0; + hour=hour+1; + } + else if(hour==24) { + hour=0; + } + + display(); } - else if(miao==60) { - miao=0; - fen=fen+1; - } - else if(fen==60) { - fen=0; - xiaoshi=xiaoshi+1; - } - else if(xiaoshi==24) - xiaoshi=0; - - xiaoai(); -} } void int0() interrupt 1 diff --git a/clock/c/clock.uvgui.niuyuling b/clock/c/clock.uvgui.niuyuling new file mode 100644 index 0000000..769a12a --- /dev/null +++ b/clock/c/clock.uvgui.niuyuling @@ -0,0 +1,1868 @@ + + + + -6.1 + +
### uVision Project, (C) Keil Software
+ + + + + + 38003 + Registers + 140 90 + + + 346 + Code Coverage + 1010 160 + + + 204 + Performance Analyzer + 1170 + + + + + + 1506 + Symbols + + 80 80 80 + + + 1936 + Watch 1 + + 200 133 133 + + + 1937 + Watch 2 + + 200 133 133 + + + 1935 + Call Stack + Locals + + 200 133 133 + + + 2506 + Trace Data + + 75 135 130 95 70 230 200 150 + + + 466 + Source Browser + 500 + 300 + + + + + + + + 1 + 1 + 0 + 0 + -1 + + + + + + + 44 + 2 + 3 + + -32000 + -32000 + + + -1 + -1 + + + 60 + 60 + 1212 + 656 + + + + 0 + + 239 + 0100000004000000010000000100000001000000010000000000000002000000000000000100000001000000000000002800000028000000010000000100000000000000010000002A433A5C55736572735C6E697579756C696E675C4465736B746F705C636C6F636B5C635C636C6F636B2E630000000007636C6F636B2E6300000000C5D4F200FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD500010000000000000002000000F4000000650000008007000069030000 + + + + 0 + Build + + -1 + -1 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F40000004F00000090050000DF000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1005 + 1005 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 109 + 109 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000005601000092020000 + + + + 1465 + 1465 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000002F02000090050000BF020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1466 + 1466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1467 + 1467 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1468 + 1468 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1506 + 1506 + 0 + 0 + 0 + 0 + 32767 + 0 + 16384 + 0 + + 16 + A3040000660000008D05000001010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1913 + 1913 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1935 + 1935 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 03000000320200008D050000A6020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1936 + 1936 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1937 + 1937 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1939 + 1939 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1940 + 1940 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1941 + 1941 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1942 + 1942 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 195 + 195 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000005601000092020000 + + + + 196 + 196 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000005601000092020000 + + + + 197 + 197 + 1 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000006B03000080070000E7030000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 198 + 198 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000001B02000090050000BF020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 199 + 199 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 203 + 203 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + F40000006300000090050000DF000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 204 + 204 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 221 + 221 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000000000000000000000000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 2506 + 2506 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A004000063000000900500002B020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 2507 + 2507 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000002F02000090050000AB020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 343 + 343 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 346 + 346 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 35141 + 35141 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F40000006300000090050000DF000000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35824 + 35824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 35885 + 35885 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35886 + 35886 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35887 + 35887 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35888 + 35888 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35889 + 35889 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35890 + 35890 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35891 + 35891 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35892 + 35892 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35893 + 35893 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35894 + 35894 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35895 + 35895 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35896 + 35896 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35897 + 35897 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35898 + 35898 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35899 + 35899 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35900 + 35900 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35901 + 35901 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35902 + 35902 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35903 + 35903 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35904 + 35904 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35905 + 35905 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 38003 + 38003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED000000A6020000 + + + 16 + 3A000000500000005601000092020000 + + + + 38007 + 38007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000006B03000090050000E7030000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 436 + 436 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A000000500000005601000092020000 + + + + 437 + 437 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 440 + 440 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 463 + 463 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A000000500000005601000092020000 + + + + 466 + 466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A000000500000005601000092020000 + + + + 470 + 470 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 50000 + 50000 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50001 + 50001 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50002 + 50002 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50003 + 50003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50004 + 50004 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50005 + 50005 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50006 + 50006 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50007 + 50007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50008 + 50008 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50009 + 50009 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50010 + 50010 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50011 + 50011 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50012 + 50012 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50013 + 50013 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50014 + 50014 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50015 + 50015 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50016 + 50016 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50017 + 50017 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50018 + 50018 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50019 + 50019 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 59392 + 59392 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 0000000000000000C40300001C000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59393 + 0 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000E703000080070000FA030000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59399 + 59399 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 1 + + 16 + 000000001C000000E701000038000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59400 + 59400 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 2 + + 16 + 00000000380000006F02000054000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 824 + 824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 3317 + 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 + + + 59392 + File + + 2213 + 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 + + + 1423 + 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 + + + 1423 + 2800FFFF01001100434D4643546F6F6C426172427574746F6E00E100000000000000000000000000000000000000000000000100000001000000018001E100000000000001000000000000000000000000000000000100000001000000018003E1000000000000020000000000000000000000000000000001000000010000000180CD7F0000000000000300000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018023E100000000000004000000000000000000000000000000000100000001000000018022E100000000000005000000000000000000000000000000000100000001000000018025E10000000000000600000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001802BE10000000000000700000000000000000000000000000000010000000100000001802CE10000000000000800000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001807A8A0000000000000900000000000000000000000000000000010000000100000001807B8A0000000000000A00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180D3B00000000000000B000000000000000000000000000000000100000001000000018015B10000000000000C0000000000000000000000000000000001000000010000000180F4B00000000000000D000000000000000000000000000000000100000001000000018036B10000000000000E00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180FF880000000000000F0000000000000000000000000000000001000000010000000180FE880000000000001000000000000000000000000000000000010000000100000001800B810000000000001100000000000000000000000000000000010000000100000001800C810000000000001200000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180F088000000000000130000000000000000000000000000000001000000010000000180EE7F00000000000014000000000000000000000000000000000100000001000000018024E10000000000001500000000000000000000000000000000010000000100000001800A810000000000001600000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018022800000000000001700000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180C488000000000000180000000000000000000000000000000001000000010000000180C988000000000000190000000000000000000000000000000001000000010000000180C7880000000000001A0000000000000000000000000000000001000000010000000180C8880000000000001B00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180DD880000000000001C00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180FB7F0000000000001D000000000000000000000000000000000100000001000000 + + + + 59399 + Build + + 976 + 00200000010000001000FFFF01001100434D4643546F6F6C426172427574746F6ECF7F0000000000001C0000000000000000000000000000000001000000010000000180D07F0000000000001D000000000000000000000000000000000100000001000000018030800000000000001E000000000000000000000000000000000100000001000000FFFF01001500434D4643546F6F6C4261724D656E75427574746F6EC7040000000000006A0000000C4261746368204275696C2664000000000000000000000000010000000100000000000000000000000100000004000580C7040000000000006A0000000C4261746368204275696C266400000000000000000000000001000000010000000000000000000000010000000000058046070000000000006B0000000D42617463682052656275696C640000000000000000000000000100000001000000000000000000000001000000000005804707000000000000FFFFFFFF0B426174636820436C65616E0100000000000000000000000100000001000000000000000000000001000000000005809E8A0000000000001F0000000F4261746326682053657475702E2E2E000000000000000000000000010000000100000000000000000000000100000000000180D17F0000000004002000000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001804C8A0000000004002100000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000FFFF01001900434D4643546F6F6C426172436F6D626F426F78427574746F6EBA000000000000000000000000000000000000000000000000010000000100000096000000030020500000000008546172676574203196000000000000000100085461726765742031000000000180EB880000000000002200000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180C07F000000000000230000000000000000000000000000000001000000010000000180B08A000000000400240000000000000000000000000000000001000000010000000180A8010000000004004E00000000000000000000000000000000010000000100000001807202000000000400530000000000000000000000000000000001000000010000000180BE010000000004005000000000000000000000000000000000010000000100000000000000054275696C64FF7F0000 + + + 583 + 1000FFFF01001100434D4643546F6F6C426172427574746F6ECF7F000000000000FFFFFFFF0001000000000000000100000000000000010000000180D07F000000000000FFFFFFFF00010000000000000001000000000000000100000001803080000000000000FFFFFFFF00010000000000000001000000000000000100000001809E8A000000000000FFFFFFFF0001000000000000000100000000000000010000000180D17F000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001804C8A000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001806680000000000000FFFFFFFF0001000000000000000100000000000000010000000180EB88000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF0000000000000000000100000000000000010000000180C07F000000000000FFFFFFFF0001000000000000000100000000000000010000000180B08A000000000000FFFFFFFF0001000000000000000100000000000000010000000180A801000000000000FFFFFFFF00010000000000000001000000000000000100000001807202000000000000FFFFFFFF0001000000000000000100000000000000010000000180BE01000000000000FFFFFFFF000100000000000000010000000000000001000000 + + + 583 + 1000FFFF01001100434D4643546F6F6C426172427574746F6ECF7F000000000000000000000000000000000000000000000001000000010000000180D07F00000000000001000000000000000000000000000000000100000001000000018030800000000000000200000000000000000000000000000000010000000100000001809E8A000000000000030000000000000000000000000000000001000000010000000180D17F0000000000000400000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001804C8A0000000000000500000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001806680000000000000060000000000000000000000000000000001000000010000000180EB880000000000000700000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180C07F000000000000080000000000000000000000000000000001000000010000000180B08A000000000000090000000000000000000000000000000001000000010000000180A8010000000000000A000000000000000000000000000000000100000001000000018072020000000000000B0000000000000000000000000000000001000000010000000180BE010000000000000C000000000000000000000000000000000100000001000000 + + + + 59400 + Debug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clock.c + 22 + 1 + 109 + 1 + + 0 + + + + +
diff --git a/clock/c/clock.uvopt b/clock/c/clock.uvopt index 2afbd62..94789b5 100644 --- a/clock/c/clock.uvopt +++ b/clock/c/clock.uvopt @@ -26,7 +26,7 @@ 0x0 MCS-51 - 24000000 + 12000000 1 1 @@ -176,7 +176,7 @@ Source Group 1 - 0 + 1 0 0 0 diff --git a/clock/c/clock.uvproj b/clock/c/clock.uvproj index db32dd0..f116497 100644 --- a/clock/c/clock.uvproj +++ b/clock/c/clock.uvproj @@ -233,7 +233,7 @@ 0 0x0 - 0x10000 + 0xffff 0 diff --git a/clock/circuit/Last Loaded clock.pdsbak b/clock/circuit/Last Loaded clock.pdsbak new file mode 100644 index 0000000..56d5eab Binary files /dev/null and b/clock/circuit/Last Loaded clock.pdsbak differ diff --git a/clock/circuit/clock.pdsprj b/clock/circuit/clock.pdsprj index 56d5eab..bfe8941 100644 Binary files a/clock/circuit/clock.pdsprj and b/clock/circuit/clock.pdsprj differ diff --git a/clock/circuit/clock.pdsprj.WIN-NVEVIKI73LC.niuyuling.workspace b/clock/circuit/clock.pdsprj.WIN-NVEVIKI73LC.niuyuling.workspace new file mode 100644 index 0000000..66649d7 --- /dev/null +++ b/clock/circuit/clock.pdsprj.WIN-NVEVIKI73LC.niuyuling.workspace @@ -0,0 +1,60 @@ + + + + 2c0000000200000003000000ffffffffffffffffffffffffffffffffb00000000c000000d0060000fc030000 + + + + + + + 542 + No + 100 + 120 + 100 + + + + + 83 + No + Yes + 83 + Yes + No + No + Yes + 0 + 83 + 100 + 83 + 0 + + + + + + + + 2 + Yes + 2 + Yes + Yes + 00000000 + + + + + 2 + Yes + 2 + Yes + Yes + 00000080 + + + + +