From 330880dd860448c5f5fc4f7abdc3b6dc98c6fb61 Mon Sep 17 00:00:00 2001 From: aixiao Date: Tue, 16 Oct 2018 06:29:38 +0000 Subject: [PATCH] =?UTF-8?q?20181016.=20Specification=20code=20=09=E4=BF=AE?= =?UTF-8?q?=E6=94=B9=EF=BC=9A=20=20=20=20=20clock/c/Listings/STARTUP.lst?= =?UTF-8?q?=20=09=E4=BF=AE=E6=94=B9=EF=BC=9A=20=20=20=20=20clock/c/Listing?= =?UTF-8?q?s/clock.lst=20=09=E4=BF=AE=E6=94=B9=EF=BC=9A=20=20=20=20=20cloc?= =?UTF-8?q?k/c/Listings/clock.m51=20=09=E4=BF=AE=E6=94=B9=EF=BC=9A=20=20?= =?UTF-8?q?=20=20=20clock/c/Objects/STARTUP.obj=20=09=E4=BF=AE=E6=94=B9?= =?UTF-8?q?=EF=BC=9A=20=20=20=20=20clock/c/Objects/clock=20=09=E4=BF=AE?= =?UTF-8?q?=E6=94=B9=EF=BC=9A=20=20=20=20=20clock/c/Objects/clock.build=5F?= =?UTF-8?q?log.htm=20=09=E4=BF=AE=E6=94=B9=EF=BC=9A=20=20=20=20=20clock/c/?= =?UTF-8?q?Objects/clock.hex=20=09=E4=BF=AE=E6=94=B9=EF=BC=9A=20=20=20=20?= =?UTF-8?q?=20clock/c/Objects/clock.obj=20=09=E4=BF=AE=E6=94=B9=EF=BC=9A?= =?UTF-8?q?=20=20=20=20=20clock/c/clock.c=20=09=E6=96=B0=E6=96=87=E4=BB=B6?= =?UTF-8?q?=EF=BC=9A=20=20=20clock/c/clock.uvgui.niuyuling=20=09=E4=BF=AE?= =?UTF-8?q?=E6=94=B9=EF=BC=9A=20=20=20=20=20clock/c/clock.uvopt=20=09?= =?UTF-8?q?=E4=BF=AE=E6=94=B9=EF=BC=9A=20=20=20=20=20clock/c/clock.uvproj?= =?UTF-8?q?=20=09=E6=96=B0=E6=96=87=E4=BB=B6=EF=BC=9A=20=20=20clock/circui?= =?UTF-8?q?t/Last=20Loaded=20clock.pdsbak=20=09=E4=BF=AE=E6=94=B9=EF=BC=9A?= =?UTF-8?q?=20=20=20=20=20clock/circuit/clock.pdsprj=20=09=E6=96=B0?= =?UTF-8?q?=E6=96=87=E4=BB=B6=EF=BC=9A=20=20=20clock/circuit/clock.pdsprj.?= =?UTF-8?q?WIN-NVEVIKI73LC.niuyuling.workspace?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- clock/c/Listings/STARTUP.lst | 10 +- clock/c/Listings/clock.lst | 247 +-- clock/c/Listings/clock.m51 | 298 +-- clock/c/Objects/STARTUP.obj | Bin 828 -> 819 bytes clock/c/Objects/clock | Bin 7900 -> 8130 bytes clock/c/Objects/clock.build_log.htm | 10 +- clock/c/Objects/clock.hex | 90 +- clock/c/Objects/clock.obj | Bin 8434 -> 8709 bytes clock/c/clock.c | 119 +- clock/c/clock.uvgui.niuyuling | 1868 +++++++++++++++++ clock/c/clock.uvopt | 4 +- clock/c/clock.uvproj | 2 +- clock/circuit/Last Loaded clock.pdsbak | Bin 0 -> 19391 bytes clock/circuit/clock.pdsprj | Bin 19391 -> 19488 bytes ...pdsprj.WIN-NVEVIKI73LC.niuyuling.workspace | 60 + 15 files changed, 2330 insertions(+), 378 deletions(-) create mode 100644 clock/c/clock.uvgui.niuyuling create mode 100644 clock/circuit/Last Loaded clock.pdsbak create mode 100644 clock/circuit/clock.pdsprj.WIN-NVEVIKI73LC.niuyuling.workspace diff --git a/clock/c/Listings/STARTUP.lst b/clock/c/Listings/STARTUP.lst index 7a773ab..d3db2e9 100644 --- a/clock/c/Listings/STARTUP.lst +++ b/clock/c/Listings/STARTUP.lst @@ -1,4 +1,4 @@ -A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 1 +A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 1 MACRO ASSEMBLER A51 V8.2.7.0 @@ -65,7 +65,7 @@ LOC OBJ LINE SOURCE 55 ; 56 ; Stack Space for reentrant functions in the SMALL model. 57 ; IBPSTACK: Enable SMALL model reentrant stack - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 2 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 2 58 ; Stack space for reentrant functions in the SMALL model. 0000 59 IBPSTACK EQU 0 ; set to 1 if small reentrant is used. @@ -133,7 +133,7 @@ LOC OBJ LINE SOURCE 121 122 EXTRN CODE (?C_START) 123 PUBLIC ?C_STARTUP - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 3 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 3 124 ---- 125 CSEG AT 0 @@ -201,7 +201,7 @@ LOC OBJ LINE SOURCE 187 ; This code is required if you use L51_BANK.A51 with Banking Mode 4 188 ; Code Banking 189 ; Select Bank 0 for L51_BANK.A51 Mode 4 - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 4 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 4 190 @@ -212,7 +212,7 @@ LOC OBJ LINE SOURCE 0009 020000 F 196 LJMP ?C_START 197 198 END - A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 5 + A51 MACRO ASSEMBLER STARTUP 10/13/2018 20:41:27 PAGE 5 SYMBOL TABLE LISTING ------ ----- ------- diff --git a/clock/c/Listings/clock.lst b/clock/c/Listings/clock.lst index cc89c39..04394ed 100644 --- a/clock/c/Listings/clock.lst +++ b/clock/c/Listings/clock.lst @@ -1,4 +1,4 @@ -C51 COMPILER V9.59.0.0 CLOCK 05/23/2018 00:05:56 PAGE 1 +C51 COMPILER V9.59.0.0 CLOCK 10/13/2018 20:41:27 PAGE 1 C51 COMPILER V9.59.0.0, COMPILATION OF MODULE CLOCK @@ -11,134 +11,143 @@ line level source 1 #include 2 #define uint unsigned int 3 #define uchar unsigned char - 4 sbit led1=P1^0; + 4 5 sbit k1=P1^1; 6 sbit k2=P1^2; 7 sbit k3=P1^3; 8 sbit k4=P1^4; 9 sbit k5=P1^5; - 10 - 11 void xiaoai(); - 12 uchar code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; - 13 uchar code wei[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; - 14 uint miao,fen,xiaoshi,ge,shi,bai,qian,wan,shiwan,t; - 15 - 16 void times(uint z) - 17 { - 18 1 uint i,j; - 19 1 for(i=0; i ?PR?MAIN?CLOCK ?PR?MAIN?CLOCK - +--> ?PR?XIAOAI?CLOCK + +--> ?PR?DISPLAY?CLOCK -?PR?XIAOAI?CLOCK +?PR?DISPLAY?CLOCK +--> ?CO?CLOCK +--> ?PR?_TIMES?CLOCK SYMBOL TABLE OF MODULE: .\Objects\clock (?C_STARTUP) - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 2 + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 2 VALUE TYPE NAME ---------------------------------- ------- MODULE ?C_STARTUP - C:0A4DH SEGMENT ?C_C51STARTUP + C:0273H SEGMENT ?C_C51STARTUP I:001CH SEGMENT ?STACK C:0000H PUBLIC ?C_STARTUP D:00E0H SYMBOL ACC @@ -77,7 +76,7 @@ SYMBOL TABLE OF MODULE: .\Objects\clock (?C_STARTUP) N:0000H SYMBOL IBPSTACK N:0100H SYMBOL IBPSTACKTOP N:0080H SYMBOL IDATALEN - C:0A50H SYMBOL IDATALOOP + C:0276H SYMBOL IDATALOOP N:0000H SYMBOL PBPSTACK N:0100H SYMBOL PBPSTACKTOP N:0000H SYMBOL PDATALEN @@ -86,178 +85,183 @@ SYMBOL TABLE OF MODULE: .\Objects\clock (?C_STARTUP) N:0000H SYMBOL PPAGEENABLE D:00A0H SYMBOL PPAGE_SFR D:0081H SYMBOL SP - C:0A4DH SYMBOL STARTUP1 + C:0273H SYMBOL STARTUP1 N:0000H SYMBOL XBPSTACK N:0000H SYMBOL XBPSTACKTOP N:0000H SYMBOL XDATALEN N:0000H SYMBOL XDATASTART C:0000H LINE# 126 - C:0A4DH LINE# 133 - C:0A4FH LINE# 134 - C:0A50H LINE# 135 - C:0A51H LINE# 136 - C:0A53H LINE# 185 - C:0A56H LINE# 196 + C:0273H LINE# 133 + C:0275H LINE# 134 + C:0276H LINE# 135 + C:0277H LINE# 136 + C:0279H LINE# 185 + C:027CH LINE# 196 ------- ENDMOD ?C_STARTUP ------- MODULE CLOCK C:0000H SYMBOL _ICE_DUMMY_ - C:0800H PUBLIC xiaoai + D:0008H PUBLIC second D:0080H PUBLIC P0 D:0090H PUBLIC P1 D:00A0H PUBLIC P2 B:00A8H.7 PUBLIC EA - D:0008H PUBLIC shiwan + D:000AH PUBLIC minute B:0090H.1 PUBLIC k1 B:0090H.2 PUBLIC k2 B:0090H.3 PUBLIC k3 B:0090H.4 PUBLIC k4 B:0090H.5 PUBLIC k5 - C:0A02H PUBLIC _times - C:08F9H PUBLIC main - D:000AH PUBLIC miao - C:0A22H PUBLIC duan - D:000CH PUBLIC qian - D:000EH PUBLIC bai - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 3 - - + B:0090H.6 PUBLIC k6 + C:0228H PUBLIC _times + C:0107H PUBLIC main + C:0248H PUBLIC duan D:0089H PUBLIC TMOD - D:0010H PUBLIC fen - D:0012H PUBLIC shi - C:0A32H PUBLIC wei - D:0014H PUBLIC wan + D:000CH PUBLIC hour + D:000EH PUBLIC one + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 3 + + + C:0258H PUBLIC wei + D:0010H PUBLIC ten B:00A8H.1 PUBLIC ET0 - D:0016H PUBLIC ge + D:0012H PUBLIC oht D:008CH PUBLIC TH0 D:008AH PUBLIC TL0 B:0088H.4 PUBLIC TR0 - D:0018H PUBLIC t - D:001AH PUBLIC xiaoshi - C:0A3AH PUBLIC int0 - C:09FEH SYMBOL L?0042 - ------- PROC L?0041 - ------- ENDPROC L?0041 - C:09FEH SYMBOL L?0042 + D:0014H PUBLIC tthousand + D:0016H PUBLIC thousand + D:0018H PUBLIC hundred + D:001AH PUBLIC t + C:000EH PUBLIC display + C:0260H PUBLIC int0 + C:0224H SYMBOL L?0047 + ------- PROC L?0046 + ------- ENDPROC L?0046 + C:0224H SYMBOL L?0047 ------- PROC _TIMES D:0006H SYMBOL z ------- DO D:0004H SYMBOL i D:0002H SYMBOL j ------- ENDDO - C:0A02H LINE# 16 - C:0A02H LINE# 17 - C:0A02H LINE# 19 - C:0A0CH LINE# 20 - C:0A21H LINE# 21 + C:0228H LINE# 17 + C:0228H LINE# 18 + C:0228H LINE# 20 + C:0232H LINE# 21 + C:0247H LINE# 22 ------- ENDPROC _TIMES - ------- PROC XIAOAI - C:0800H LINE# 23 - C:0800H LINE# 24 - C:0800H LINE# 25 - C:080FH LINE# 26 - C:081EH LINE# 27 - C:082DH LINE# 28 - C:083CH LINE# 29 - C:084BH LINE# 30 - C:085AH LINE# 32 - C:086AH LINE# 33 - C:0871H LINE# 34 - C:0878H LINE# 35 - C:087BH LINE# 37 - C:088BH LINE# 38 - C:088EH LINE# 39 - C:0891H LINE# 40 - C:0894H LINE# 42 - C:08A4H LINE# 43 - C:08A7H LINE# 44 - C:08AAH LINE# 45 - C:08ADH LINE# 47 - C:08BDH LINE# 48 - C:08C0H LINE# 49 - C:08C3H LINE# 50 - C:08C6H LINE# 52 - C:08D6H LINE# 53 - C:08D9H LINE# 54 - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 4 + ------- PROC DISPLAY + C:000EH LINE# 24 + C:000EH LINE# 25 + C:000EH LINE# 26 + C:001DH LINE# 27 + C:002CH LINE# 28 + C:003BH LINE# 29 + C:004AH LINE# 30 + C:0059H LINE# 31 + C:0068H LINE# 33 + C:0078H LINE# 34 + C:007FH LINE# 35 + C:0086H LINE# 36 + C:0089H LINE# 38 + C:0099H LINE# 39 + C:009CH LINE# 40 + C:009FH LINE# 41 + C:00A2H LINE# 43 + C:00B2H LINE# 44 + C:00B5H LINE# 45 + C:00B8H LINE# 46 + C:00BBH LINE# 48 + C:00CBH LINE# 49 + C:00CEH LINE# 50 + C:00D1H LINE# 51 + C:00D4H LINE# 53 + C:00E4H LINE# 54 + C:00E7H LINE# 55 + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 4 - C:08DCH LINE# 55 - C:08DFH LINE# 57 - C:08EFH LINE# 58 - C:08F2H LINE# 59 - C:08F5H LINE# 60 - C:08F8H LINE# 61 - ------- ENDPROC XIAOAI + C:00EAH LINE# 56 + C:00EDH LINE# 58 + C:00FDH LINE# 59 + C:0100H LINE# 60 + C:0103H LINE# 61 + C:0106H LINE# 62 + ------- ENDPROC DISPLAY ------- PROC MAIN - C:08F9H LINE# 63 - C:08F9H LINE# 64 - C:08F9H LINE# 65 - C:08FBH LINE# 66 - C:08FEH LINE# 67 - C:0900H LINE# 68 - C:0903H LINE# 69 - C:0906H LINE# 71 - C:0906H LINE# 72 - C:0906H LINE# 73 - C:0909H LINE# 75 - C:090CH LINE# 76 - C:0910H LINE# 77 - C:0913H LINE# 78 - C:0917H LINE# 79 - C:091AH LINE# 80 - C:091FH LINE# 81 - C:0923H LINE# 82 - C:0927H LINE# 83 - C:0929H LINE# 84 - C:092FH LINE# 85 - C:092FH LINE# 86 - C:0937H LINE# 87 - C:093AH LINE# 88 - C:093DH LINE# 89 - C:093FH LINE# 90 - C:093FH LINE# 91 - C:0945H LINE# 92 - C:094DH LINE# 93 - C:0950H LINE# 94 - C:0953H LINE# 95 - C:0955H LINE# 96 - C:0955H LINE# 99 - C:095DH LINE# 100 - C:0961H LINE# 101 - C:0969H LINE# 102 - C:096BH LINE# 103 - C:0973H LINE# 104 - C:0977H LINE# 105 - C:097FH LINE# 106 - C:0981H LINE# 107 - C:0989H LINE# 108 - C:098DH LINE# 109 - C:0995H LINE# 110 - C:0997H LINE# 111 - C:099FH LINE# 112 - C:09A3H LINE# 114 - C:09A6H LINE# 115 + C:0107H LINE# 64 + C:0107H LINE# 65 + C:0107H LINE# 66 + C:0109H LINE# 67 + C:010BH LINE# 68 + C:010EH LINE# 69 + C:0110H LINE# 70 + C:0113H LINE# 71 + C:0116H LINE# 73 + C:0116H LINE# 74 + C:0116H LINE# 75 + C:0119H LINE# 77 + C:011CH LINE# 78 + C:0120H LINE# 79 + C:0123H LINE# 80 + C:0127H LINE# 81 + C:012AH LINE# 82 + C:012FH LINE# 83 + C:0133H LINE# 84 + C:0137H LINE# 85 + C:0139H LINE# 86 + C:013FH LINE# 87 + C:013FH LINE# 88 + C:0147H LINE# 89 + C:014AH LINE# 90 + C:014DH LINE# 91 + C:014FH LINE# 92 + C:014FH LINE# 93 + C:0155H LINE# 94 + C:015DH LINE# 95 + C:0160H LINE# 96 + C:0163H LINE# 97 + C:0165H LINE# 98 + C:0165H LINE# 99 + C:016BH LINE# 100 + C:0173H LINE# 101 + C:0176H LINE# 102 + C:0179H LINE# 103 + C:017BH LINE# 104 + C:017BH LINE# 107 + C:0183H LINE# 108 + C:0187H LINE# 109 + C:018FH LINE# 110 + C:0191H LINE# 111 + C:0199H LINE# 112 + C:019DH LINE# 113 + C:01A5H LINE# 114 + C:01A7H LINE# 115 + C:01AFH LINE# 116 + BL51 BANKED LINKER/LOCATER V6.22.2.0 10/13/2018 20:41:27 PAGE 5 + + + C:01B3H LINE# 117 + C:01BBH LINE# 118 + C:01BDH LINE# 119 + C:01C5H LINE# 120 + C:01C9H LINE# 121 + C:01C9H LINE# 123 + C:01CCH LINE# 124 ------- ENDPROC MAIN - BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 5 - - ------- PROC INT0 - C:0A3AH LINE# 118 - C:0A3CH LINE# 120 - C:0A44H LINE# 121 - C:0A47H LINE# 122 - C:0A4AH LINE# 123 + C:0260H LINE# 127 + C:0262H LINE# 129 + C:026AH LINE# 130 + C:026DH LINE# 131 + C:0270H LINE# 132 ------- ENDPROC INT0 ------- ENDMOD CLOCK ------- MODULE ?C?UIDIV - C:09A9H PUBLIC ?C?UIDIV + C:01CFH PUBLIC ?C?UIDIV ------- ENDMOD ?C?UIDIV -****************************************************************************** -* RESTRICTED VERSION WITH 0800H BYTE CODE SIZE LIMIT; USED: 020AH BYTE (25%) * -****************************************************************************** - -Program Size: data=29.0 xdata=0 code=607 +Program Size: data=29.0 xdata=0 code=631 LINK/LOCATE RUN COMPLETE. 0 WARNING(S), 0 ERROR(S) diff --git a/clock/c/Objects/STARTUP.obj b/clock/c/Objects/STARTUP.obj index e6972dc32f663b249bd998c7f9a1d65fa245c106..97bee000264b809376884237f4f538c5af14d288 100644 GIT binary patch delta 52 zcmV-40L%Zp2D1i`Xao1>!I5hj61L*OTMScFK~hvzP%c3=F#!9qmJ<~A1OWj6 K008Hcy#jv{%@HpE delta 61 zcmdnYwufy(2J;hpmWkPtLP9s^gz^N3I0l7;2Ix7O8ZvlqoF>d9BE-PJz{1SPz`(JX Qk%5H~$Y9W(yoISA027H0F8}}l diff --git a/clock/c/Objects/clock b/clock/c/Objects/clock index 380166f3b0056d549ef3b928fcf574d0f024169f..9b302c6a29f58791aee6dc69a190a456dbbb62a8 100644 GIT binary patch literal 8130 zcmb7JdvKK17609DA9*KBLLv}amra1+Bj!PPSX6Zwp7U8(P;)b9#Khx1YSaGXJu8fj|7~ z)s>lwA1#lIUGHLaYYj`)wr)e)+R`?cv(XpuHh5QfN@iW>_jx?E)11{!jZ6KWh0{UUD~{;v<6CGnDj`A2&G}h-yc})s=2XwVSC5A z;HIi(61myi+1Bh1uWw)1><-MDy{xLdbpD*K$1pmfx}Fr88_#uJXO~l7FeeUW!AE46 z1Tl!fDlwNgr&XFdfr|99BA3K1WN|&-`rr~>+{i|sF^AGc`PNS{*^jQC;{e{p2N zJaekp0U8-5XT9K>1P$ockVTZtNsl8~CIa?31l25j)Rhatgl1k(xe94c`xvmeYighk z0iqh(E`n=)^P%mOKk8v4vQ%%iPjVtiAVWccMjvdwjIMPD-1VMCz$Oi8I@HKWruD_p zDoD~ww4&vY(|rs{6(q6E=X2M2)z%{frWnl5=-o4{cdf`_JMEyHqx`BP!lP? zb2$mwt=$FmXnR=wy})MeZeXkS0cn)=3?g`0~rd65(el-8WH zlgs97C%^m6Gxs0fd7<~7yLbKR*Lx0b|KmTu{PyC=CBG|=zb4|0ptr^otX;ovI(@soqq8U64(q)%x3(PC!~CXij_|t@*8BK99oD1#u7dR#zh?j?+9Z20 z+_ApBn=}(H>-v_CPFAbP8tQ53gtiYBYoMXA7Fs53*3}z&HiE+5X4%l$4lL7(Et}gr zpgCwJ+};W66A%_pfMm_(Z&rt)^}%8Z%%_?C{G+}M);{_s&_pw1VM_~#iD!2Ut62bB zY7`m=TgvL5&d|noLQNohg@J5LP6>5%cdcvL3arwmSUWnyWeu)uHgmC-Zm*wHRyKWR zts@PZ{(HuLJGrk|8#prj$Vr;EMW**&o+e01)8oJ7N+W)n^ihMuLR;vZi#M`nB`HW* zjb3X8?L}<7fsyZDKmYK#hkW@17yp}a0wVq2p{)zLhO=IV$m|`MLF86^W~a?kr@8jK z3Mu?bv@uMX%a5@@g_h6A0<=l_bP+B((xIi)di}n7_wqm6M_BczQ~T4l;TP$-;!I!m zfgBTf&~a$Y)9L*g3Onw=coTTA;Luf1XZB|)?AQbOCh%bHp}g??$vMM2!&+7L@Gi1c z?>$Ca6av?Qw%||bHx}T>z;av-ti~i@3tYfab-DX3%W9^Jt+ld(2_W8qA{EOy@D1oECbeg60B_D?^vo~`vD>TlN*=1#kh@~b9K6OnH z7Sd-fE9K@#HKlh^LkU~dl6!;)aEN(8o~Q!~gqI%+_^}WeFB*V}f@+UbGy+9}%D`0d zbKn}$1l%C}K&1!(GsR+Hwpaqp5li{8jCP4wPM#JkfCjM=XcW!-xEWY1ZUJrhK5h%Vqk zaXavs*a$o>C^`0X0(wsLP?U&Gz{_GYa7t_e-V&6I-V%2Lr^Pl*C9m70*H3Uw%AjIlo;v|q)E>*U9_!ofoF(*!*f-v79wP3DGG+IFCo>*E@(=&8Ij^WP!?<`55CiuB|(~z?}MRy`PQh-At%c*N@G@QhMc#_TC0{>(}tFVzh}IH6`nSC3|_$sPa8c3 zuV96zO&^0-u)60Wxg1gvR({K87~H=Y-hk}t!eT%SNC~R zCYDNht5DU7v5ML9 z)>en)obL6M1((o?v9ak$5}}?q3H4rUswAbhF^@aoxPKV0RKdIGyoe<2D$2RE$Z`L!}Ij8vr?&gd@>KkXn~v zY5`*4sc|s112K4N3SwYt4Pszw5Mp3z6JlU$7GhuyH?ut4IGAB-9}KuH>hiG3A@wLj@!;1sSviwBCn^iMc8iyh3jIWZ2 zYOa9s+A>UioFVE_l~nkMx-!Bvn(3k;pHDXGG8gfolOzP&92R9NER+0z5&HW6g zGak&OUR4YIke-uZqr12#qcucAmYqQJYOkf+T!G>&%Uq&!jRVDlSIG7sN7=F~d z8uTBjkfrl_V}gv)_=v9rETh?vlT2$&Y)z5tiH_?c7Pm#PyNx6xkVkVol+<+8HMCfy zIIOw$2XJ5?DmyeN^9Ra<4P{BYP)-UwSdc1%tc+{?v$Xn^oXzQo2b0ZI5z<2!4rdaG zJhVAVOaiwN2TVKLh!$q(;oVd7|VJ>E7n2VPg z=3-@rxi~3UQ(M;K&Wd>Ias_2Ck?>Gz)zEJhJx?lMhbKv;%-v$taPfv(OB|FCY)Cqz z85|kjL9mL2^Xh2fr+dQSrwQ(f9!OYK9xSI%$#q9zM6=69alFGgij`bVt=x;#*-Kqo zB`SF34aO~tG7&3eZo$)yD5c;o55Ap5BhD2(SflAGfatpIR79s}E>xmue2*dr)9}*s z2r`SZ(**BUI`Teq`xgesB@u?}77u1zW+JR}2Ry-|B!mH-P7+oqEqt}Xhmz2!V;C>i zs0^mxf__J2u?6eO$(Re4awr>v{bGi>)i)c5E*gx$$Dk5<>1#c(6n>lpcPn zy1|P{sMJ}QsTQat%9pldi7560K*=cR-cBEU$jemSaDYw?`Wa|%lJ#DQkn(!(s`b3J z^}(e{h^Cu}nxgBe?t4!X(i0}qcU0igCHJdD6MN`VzSU4*qG8|G5p0gIT_$+9;z^h5 z3y5cIR4bl|b%t9CGfzIqsi-bcn}siM%7UkpsK;GB4>sp)Wf{M>G2)3^laRioQ%cge zl_&V$2OlJ;apld)r(-2Hn~Luc%rNH-vzm0z7qdBg;^573^jl2yPpGap@(%_+YR5+C zWRWZB1v2T)gCmqXJe5(^wM3DQma_7-gu0Sq*%`h*4zI496kg>v1*>huFxx?0d+>UE z<)vV~f<>=E6n;fyJ%wKtffZiC3V&-HUcm}~XB=L^j4yL!P)VFqGiR~4*1P0aE_sJ> zMntEcv(uUCjCctduVC7j2ZnZ!J~iYTIDMgKDD}%5M!%@MbguIIFCIL1e6EdNmvTNF z`fT965!d+(!$V)}cAlMh>8T<8%kz~Zb5oA{>>mz&<$C@22z~gu>wQ|>Vk~~#>M;Kc Jm2LO#{{TBWm|Fk< literal 7900 zcmb6eYj9NM_3Yh8?!B9k%?t9dy1Bd(Mc565M~V%b!#+R>RNwOWLXx(Y>-p7Y)P?%v(S9sPdX zz2`gMd3@*fo$qe{A(-s&ReRk^Bk08uishDylA{vc85C*0n82XltM>FmI`CRaRUn0T!a>N_|axQ%`T7c4u`v zs@(41(ADl6T;J2z?u#y7(pK%AyL_zw=P+4X7r+fIOXb?Pq2H|w%uPXAnbFeJKmuC^ zbLzM>D%_Wi8M=(rRs8|2RaZCQuOqc1bMfUb4;CUc^E$4S;hgXufYn!D55hQrr4EE$2seb6 zgRqmm1i+4DqQ5T8I3Z*KCx@b;FxZC)-QbJ*0_sYDundw8g$PNs;UroPFMPWGy<^wkAa5FQ1P*n}3jz8QoaxRVHmIhsL?8^EheZ%ar=!*R%v z-j*btL5%(eM;59Bf*kyTk_A?Ly$4zyX#JqPW&7x7f4?-eqf8JlyrZ}g8I2+8-; z_ICr^AU^;Qk)H)WeNBz+N8+)i-UPkxfFf7%;c~j00V!$fqtm_N(t7 z+Jp%w2#Ob#69laZH z67071C>3yHGi=>M$Wh3~xjTC4Z3@_}outqnu-c-*Py<>Er*7{-Tt2>_4ggj1OzY+z zTvUiNOr>LBv8qwje=698)jc4LfYlmZjuQ{kOTYuR5nK{&#+hQY${+}(V9%o6GmbU# zEL-n}LC+`36td@pBs&7N9?ycRH7*GR{oT9Yfqt5hMi0dveHW+g@#&Gv(`Z;7)yRY* zAwPk6BIvSWuAEUQV+9*(fjbcJXYH6{I$3M<(ibm%^vIcq!$qSP|K~gj7Wu#3TUYeQ za-IiE_V8#F$!+j~1GA!BR(_$BVVnsvG85!HdSEPM%Y}q2f(fRduEIsOg*Lx0|a4m%Tsd$Y`BE5$N^YemerrQ$aL zn#3ysZWi4DYsGSaJ46Lwi->NzOPmSt9dQ=GK5;g{0kHz$uy{4VW8yUcPl$5>ekooH za9o@R@Vw{&I3;=kUJ|PSUJ(}n{7uBvct>0a@DFhjz`NoSfOBFEz{lb@0b=4(fb-&Y z@EG%;?zM7P76wev=U@4P;~C1O(w&Ar^Je5C3feSvwx{w9$q}=>|UzMIE#E4pILU-urij1vo4%PNsN!nUOJpTR@Pmt zmiF67HnJ2XM?m=)&3-|wCFPgV!v}CttiyB?>(L8R10F4sipP`GNRQ?8@Z-GHgh4F@ z09;ZKpg_X&WSSHLD3!thS4lSjOqZGgW=j!(N+}93Pimn@E5IV@Mt~Zr4d6QICV*P$ zW_qjwP^ES(+R`mpo26T^EK3?di*y@3x&UsGx&b<*9)Moyc7S!#YJdT04ZvmzE6;Xm zEx-(2c1dsVwxX4lAfVkpX602<`20FClCfEDsx@IK>89A3s_ z!>S(}gSTN|2^PRm0<2N?-tLaU4*M4N?5C%VJ-Rk__t<;b>>7GL-I2@MCkyZfs=VWB z);!Y=4s$%_X%e2p z9FIAhgy%5FWBw-LIZXHiodu{NK#r!xyHIVprhPYq&19V!kj?Jylo>TUFW*NZJU(Cw z+cZXI_Yh!!F_UGRh{z;vgh?zhAsCBS5`1+Ej72L6k8za*Q-pDTF0K?E>>sB2v4yo; z|H1`G#pV9u-M2)*q!Pt8k@Ww=NdgH-)FoU&zi=;GY>u>Pj#FqTk zxVbEGx8K)2lZl{HVTVp)n2TBgZmy@e#n*X1|56qfR${nVvM}}wM)1uxSX6zMfrTSQ z3v4tD7BdVM^J5uUQ1zw3{T{-1o&x0tiv^#iu}CB;9@}hi7Eu!m+88!72Fqu-eephX zGL0v%y8@^>OGB*y!rZ>ZlTKwboGKHWB}3+~jcrtak|r{-4Jk#WnFWc&uFGKgIL}17 z=dFq}O_Ya3oD`)ntwWgWeI;koc)eHKgiOfpH0amdf>5l}AOi>ZA);StEl6f#M=Gg^ z4PJL*L#YK6uLXu|T1{1gyV}yJi|6;s!)bi)qxKBxFJG7qK8PndW*EENB)QnbvLesp z1sl;Mcw-7ZcBTgXTb)^qx21PZ-0JrD>U)js#E*bDM}tei`=ucv%sH&R=4)^$G;s)V z7eu{U(37!w#4eu=Ql#1-^U(4CG)gBc(V$CZfU*WX>q`41o8^wzBxnE*HhA4x=dM#)%rigfZyVUdteu(vJoSUcmi~ zADCW_i%yg+UOEIQ)=3Pb;w3l91Y1q;{!Z%V@%BdP;EObA#Tk@oH8J*>2pfG-RhyoH zkP18v+C>%LMYutGI0Fs!3^a%)#A)yoT@TWH)uLI3^gNu%`Q}?k0e6D_Dbj^(lkbCe z{B%h^!_Um52M)SNPz}W!G#mV0p~PV_B;6}!r@;Ka$MO7r$6+2_9Om~of=NEVw~#!# ze{z_L2jTfWhT}QR@%&!H@f_y(O>uoWp2LI>@EjTHTGO#`KxYCz6j^5E{gIo{5l!-HZ%`VV#ZlkHk%+EeiRzy)8pRh4lDCVe zIDRz$eVpcU#ciri!e}VUsMj>_VVeow&v|0I75o}@DK+sR88Z>)b}8XygFZfav^O%? zgc^Dpw7n%<75qn`Elrg#Z_lWLanYm-xy%exk7C|Fm1s&tl1b}(Q{Z(7o9X)y=JaFS z}<9=Eq=*xqwUN`pkb=E52&*4Edy_#6DKKUCQFg=11;>MxF)0FFt|f%65ZJhylJ z-pS+R%IF*ChsMQo^Cr*EpExuBi?csIb99*h_5TMgkyZ7%bli?rQ0{|{?JTU!7C diff --git a/clock/c/Objects/clock.build_log.htm b/clock/c/Objects/clock.build_log.htm index a880c8c..6d3f9e1 100644 --- a/clock/c/Objects/clock.build_log.htm +++ b/clock/c/Objects/clock.build_log.htm @@ -5,10 +5,10 @@

Tool Versions:

IDE-Version: ¦̀Vision V5.25.3.0 Copyright (C) 2018 ARM Ltd and ARM Germany GmbH. All rights reserved. -License Information: a a, a, LIC=---- +License Information: aixiao Microsoft, Microsoft, LIC=FQZGK-ZL4VP-SQ609-4ELSE-V5YI3-SSTM8 Tool Versions: -Toolchain: PK51 Prof. Develpers Kit Version: 9.59.0.0 +Toolchain: MDK51 Professional Version: 9.59.0.0 Toolchain Path: C:\Keil_v5\C51\BIN C Compiler: C51.exe V9.59.0.0 Assembler: A51.exe V8.2.7.0 @@ -18,15 +18,15 @@ Hex Converter: OH51.exe V2.7.0.0 CPU DLL: S8051.DLL V3.122.0.0 Dialog DLL: DP51.DLL V2.66.0.0

Project:

-C:\Users\aixiao.me\Desktop\clock\c\clock.uvproj -Project File Date: 05/23/2018 +C:\Users\niuyuling\Desktop\clock\c\clock.uvproj +Project File Date: 10/13/2018

Output:

Rebuild target 'Target 1' assembling STARTUP.A51... compiling clock.c... linking... -Program Size: data=29.0 xdata=0 code=607 +Program Size: data=29.0 xdata=0 code=631 creating hex file from ".\Objects\clock"... ".\Objects\clock" - 0 Error(s), 0 Warning(s). Build Time Elapsed: 00:00:00 diff --git a/clock/c/Objects/clock.hex b/clock/c/Objects/clock.hex index 1b8c219..b94cade 100644 --- a/clock/c/Objects/clock.hex +++ b/clock/c/Objects/clock.hex @@ -1,45 +1,47 @@ -:03000000020A4DA4 -:0C0A4D00787FE4F6D8FD75811B0208F9E3 -:100A2200C0F9A4B0999282F880908883C6A1868E7C -:080A32007FBFDFEFF7FBFDFEC3 -:0409FE00E493F5A0E9 -:100A0200E4FDFCC3ED9FEC9E5015E4FBFA0BBB002A -:0F0A1200010AEB64794A70F50DBD00010C80E418 -:010A210022B2 -:10080000AE0AAF0B7C007D0A1209A98C168D17AEBB -:100810000AAF0B7C007D0A1209A98E128F13AE104D -:10082000AF117C007D0A1209A98C0E8D0FAE10AF9E -:10083000117C007D0A1209A98E0C8F0DAE1AAF1B18 -:100840007C007D0A1209A98C148D15AE1AAF1B7C91 -:10085000007D0A1209A98E088F0974222517F582D6 -:10086000740A3516F583E493F580900A39E493F51C -:10087000A07F017E00120A0275A0FF74222513F5E5 -:1008800082740A3512F583E493F580900A381209D0 -:10089000FE75A0FF7422250FF582740A350EF583CC -:1008A000E493F580900A371209FE75A0FF742225A3 -:1008B0000DF582740A350CF583E493F580900A36C1 -:1008C0001209FE75A0FF74222515F582740A3514ED -:1008D000F583E493F580900A351209FE75A0FF7444 -:1008E000222509F582740A3508F583E493F5809092 -:0908F0000A341209FE75A0FF2272 -:1008F900D2AF758901D2A9758C3C758AAF120800EF -:10090900209104D28C8045209204C28C803E209391 -:100919000FE4F50AF50BF510F511F51AF51B802C06 -:10092900208C132094100511E511700205102094F4 -:100939001B12080080F8208C13209510051BE51B5D -:100949007002051A20950512080080F8E51964144B -:100959004518700EF518F519050BE50B703C050ADD -:100969008038E50B643C450A700EF50AF50B051154 -:10097900E511702605108022E511643C4510700EC2 -:10098900F510F511051BE51B7010051A800CE51B08 -:100999006418451A7004F51AF51B120800020906B5 -:03000B00020A3AAC -:100A3A00C0E00519E51970020518758C3C758AAF76 -:030A4A00D0E032C7 -:1009A900BC000BBE0029EF8DF084FFADF022E4CC32 -:1009B900F875F008EF2FFFEE33FEEC33FCEE9DECFB -:1009C900984005FCEE9DFE0FD5F0E9E4CEFD22ED41 -:1009D900F8F5F0EE8420D21CFEADF075F008EF2F8B -:1009E900FFED33FD4007985006D5F0F222C398FD7C -:0509F9000FD5F0EA2219 +:0300000002027386 +:0C027300787FE4F6D8FD75811B020107BE +:10024800C0F9A4B0999282F880908883C6A1868E5E +:080258007FBFDFEFF7FBFDFEA5 +:04022400E493F5A0CA +:10022800E4FDFCC3ED9FEC9E5015E4FBFA0BBB000C +:0F023800010AEB64794A70F50DBD00010C80E4FA +:010247002294 +:10000E00AE08AF097C007D0A1201CF8C0E8D0FAEAB +:10001E0008AF097C007D0A1201CF8E108F11AE0A37 +:10002E00AF0B7C007D0A1201CF8C188D19AE0AAF72 +:10003E000B7C007D0A1201CF8E168F17AE0CAF0D02 +:10004E007C007D0A1201CF8C148D15AE0CAF0D7C89 +:10005E00007D0A1201CF8E128F137448250FF58280 +:10006E007402350EF583E493F58090025FE493F508 +:10007E00A07F017E0012022875A0FF74482511F59D +:10008E008274023510F583E493F58090025E1202BD +:10009E002475A0FF74482519F58274023518F5836E +:1000AE00E493F58090025D12022475A0FF7448253A +:1000BE0017F58274023516F583E493F58090025C91 +:1000CE0012022475A0FF74482515F58274023514AA +:1000DE00F583E493F58090025B12022475A0FF7401 +:1000EE00482513F58274023512F583E493F580905A +:0900FE00025A12022475A0FF222F +:10010700D28CD2AF758901D2A9758C3C758AAF1292 +:10011700000E209104D28C805B209204C28C805404 +:1001270020930FE4F508F509F50AF50BF50CF50D25 +:100137008042208C132094100509E50970020508F8 +:1001470020943112000E80F8208C13209510050B97 +:10015700E50B7002050A20951B12000E80F8208C13 +:1001670013209610050DE50D7002050C209605125B +:10017700000E80F8E51B6414451A700EF51AF51B7E +:100187000509E509703C05088038E509643C450820 +:10019700700EF508F509050BE50B7026050A802298 +:1001A700E50B643C450A700EF50AF50B050DE50DE8 +:1001B7007010050C800CE50D6418450C7004F50CE7 +:0801C700F50D12000E020116F5 +:03000B000202608E +:10026000C0E0051BE51B7002051A758C3C758AAF52 +:03027000D0E032A9 +:1001CF00BC000BBE0029EF8DF084FFADF022E4CC14 +:1001DF00F875F008EF2FFFEE33FEEC33FCEE9DECDD +:1001EF00984005FCEE9DFE0FD5F0E9E4CEFD22ED23 +:1001FF00F8F5F0EE8420D21CFEADF075F008EF2F6D +:10020F00FFED33FD4007985006D5F0F222C398FD5D +:05021F000FD5F0EA22FA :00000001FF diff --git a/clock/c/Objects/clock.obj b/clock/c/Objects/clock.obj index 153dfb45080fbc5be37f3e239718162d933d22f0..936ed667fedcc3867922b315cedb3986ba28a80c 100644 GIT binary patch literal 8709 zcmcgxdvKK16+ho&v)Lq&Kmb8dmMkDB;wF#)iVY!INFd3^WWzK1kz^MLfh3ZRh@gar zqBNrNYMr)<9i3{eVy$BzQ~{|KfTGE zdw=(ybMCq4p8NW?>vj5SV|j6*zo2z(XKVY^)&hUHE>cxfwJbDg=9GrIP^eqBHLuTb_TOCXM>h;+00}RPxsM8w<*>Zdw>?TWj4^+ys-G zsybSm%Hr!{Yn#dZ;3@+D(vUp%XNin`+9cYVCTH=5T$!T@N<|?RpRR z(eFs|m6nI?stnS(Y8a|2B6ijIQDX_65@nW_mo`>aR4o!LS*7JxL!_)e(pb0rq9X*s zp->k=o=4=ZY^<%Q4^;pShG3*J+}Kc7%P@z?7jfV$A|qVMVwosmrclb@y4ny*u-b%d zJ&G_Pqo%61F~aq*CZi!#9g$C9C`brGiSd% zcOWo~*^xZiR#kb(s%WgKS!$K~$C6)zS3KG+foy*un+mi%G77XzG63-Z*FcS?iJ^Eh zx?`=K9Z@2^mkhlwh{C;GC`92P7m8r(A=30vnQ(+BV_jQEPdtX&`%v2sK64Kjm~Sr^ zrlGKp3)4~9&xK+X4sfAF418RM6>nP?>lW@QFxNG=bqN0j%xF(@2l~B>3^P&_<|#AD zW3KM(S&t&dV{~@Jz?w(njSaCj)McVB9)pbe7->c*5=31V^R13UPahdZq>_iUi%Zog znFwzqQqO!jGYTXJ^tZ?A3RVu{PfxXWFICi>tE503%dFT zJx5wj@9Cw`VA4Ol8DI`j!4m;L87wwL2oDu>80cUcE;vTe2w|#i%-Osw(pB`n>^&z| z!IN}|Hq)(HFshI4CI{S2_c-AfaWpV(Q-xul3$b#LnBI-A3rF=ipc8Vfg$HXnKySpt)ahm%ygF^7iJ;#`80fT{CV}2Z zlR@{<6`&6z9CZ2-^y&0tgoI8{P!RMvDg-@BMWDxN8t6+{LpuG5NRfn@CgkEnf@qQgSeHJEh@J+nR3I}^3D2h>hPZ9z&cwDsnob|iRB)&P~5sjGZ9 z;#f2_p0o*KRtfI5SLtMt>u@$>1CHk0q^m^kA$zrHa$i$rqwO-^DRM%<*!JHeF%D$d&8y3NSIdXpRV_GC}8p>QoMD!hr@h%@C-M=7HwWe9&B~5_Ex}3qglc4d`&H1sz3U z&@og8>Zj{K15^(>i5ftsPy{qcji5!e2y`Yb7IX>d9Bgm~`d$V)51X7pmDD8Y^`NzM z1Lz{MK$p@A&?esaP}?GCD`*sd2CbzSXeX@%T~Dh(j7^f!;|Spu50p z&>l>O5!4qkUs6>ONWU+qIbm_<{Rk=FU}v-96hC0qrHfKf2t_gHwyZA8uKy1b`BN5 z2)_Vs3oN$<(mHJg&BBgu(jY{ji8F2oeI|_HK3>Fq*0Arh@%0mlW`8U%x^(1>uoAi2 zU5HMJoASdea9PBeItzX9ZMZGk9B=mAENB-~Q;^oqXv`B6wX2yv-JB~PKpLqh(5KCp zi${=7U*j2cR|`&S^q3I|S~Z2%tF40sM2*OINBJtmdxefju+vvaGh4Dny+_<)+aZN( zsJKWmcUSa}a0^RmsI$|yiFH7H@k(OTfoGzc+b6l&6;|z6y4n?1?M1G3g;o0uSG&Th zeYUGzVQ#;?B_9?N4YDlxjp&WxiTf)g*k_wtvV^p_;=czW++q2SBq}#Vd$8zj zm$BI;}2-Z+hYK}+JSv7yhd<`!*gMtu!*g*ZQ2?w#&WQ2 z!sT8hWy4repR~!T^PCzeKWqM(5*sl*%WS1{gi0cg4Xiud2kgYYX1vUWSGe#>7vAK+ zrxSr)Xq88-pQf3l1}Vm4o7R#m{E>VXJ^l^6aw#-n<4Mev)AMEv?!jowstN_IMfflh zuHT!+s9!jY`dv$=5XIXxA0j#;#}E!8+Mb9TzGm4;%@N0q(;AK&C+4_uVvZXpX6v1p z=U8DR z!c$!s@Am=xc)bt6B`(b2>9pq>7rxekTa&Y1C}(|78gKmyqMUf>uc@VpH~k6eE=^AR z?a>Ls1m2go+9HXd3jr(h4k?ef7oNGG3JojXPRipofE=rgCnQu9{X2~cUelbEiZIGb zML|NvwEi?Ic>m+1R9uI$QZY86V)|z(Dw5k2pZ%PaibW_Z6&yNC9r!8bUwjKWoT5{0 z$2x9ec2YX$0xJKqPCgf%xXy*$He8Q(r%kO6{C;AX#h<2_lpLlHBAk>-Zj~0=R2M&N zv5$21CFeotW+2zMTk8^0wlO``=YRM;QOm&`s>@DYL8OI!^?pK=%fB9N2l_qz( zWXKPP5GOVM3Y3+dW0aEl^9rr?P{NMj#JTf6!`m4rR#L4_3;R=GZix?k!u3KfY3mYTK2??N>s+`K*r~4zSdHyX6|(pU7*4S<86WPGfNfMd*v4^= zKE||oMSoh`6zOr^4cLMmiA_esh1gEYYX0h~Mp3IYthwSFXy7?i8fujLBZXE?Fzqdr zHw8{?NO3|e$Y=c1=$-B6#JO{_c{}37Vlz~P^x(tg8!1BgOaw-rYIYeX7Gk%#-Ky@k zs@>_N32LhFm9`pvTST*t?WK{@x4q~qL%fkIkNLbv3tTeij`gUEqoWp+e>`_PaWWe7Z_hzl#6&k&MSCU zB1#e5h1M%+M#OssCmzvs6+rlW|DqgIaxYY-==j)XCzj!^=K(rR+2+7I)EIf4l{GB1 zMy4@_&n-@@IOq_ZUls{jfi!{=1ob1ulLwI|iA7k1jR0IzHdqiEZS$oe$`Yu~Y}@F8P}s@{g%oul%KwpV|W>bb>Zi$ZPn(YA23R zKK9j)sy<5uWINl+pC!~MDTS|ewX07~s$K1E3ae$rnEjwWdvJgJNlan;1WSGfQSHlQ if2w_j#Hw9k)xOfzuCQv~)q(nkXkC2#l;)b`hVJCr6&spuEu9;>Cj{c*IgR7X zCny0h#adT&v~_Eqb*-x=5FM}dEH6IGkU>*tdzSl@Q6^1)@4lwes!N*}b|gC!*H<*d z?p`3qAQ4U$s+ne!Chn!86Kk1 zR9IQxP?^9oBaM~9ZQ3JW+apV(;aE6ok3f`Vj!-_!)P|#VcE5+N;QnH}A6^LA{a)~6 z+M(pHtcuxPS!8n8Aaqs7?XG)=jUvoRlwDa>*%YmgE*6%Y%Bn3g>=(d(bqG+Lbs++=f5aK4U4PM|TFJH{2EZav|7r3%)SH4(?scr9X91Y80 zx;S@A)u+CTh!sEo^}|Q*-M8(l-aU70f91!w?b`C(`=5Pz>YLN|1P3uYiZ?eAt%@Y7 zn` B`O1>D40tD1D^02n}fL}K&3_@S*1ocSpa_tRv3m@6v*0?Y+2WmglBrmGV4QV z?BPZk8V_=#9JU@N!;FMw>E5gjE0Y^r)}nVWdRK$bvyU6hx1SrApmBg3)6h7`jS5+B zX;z{;xu#=-I3?&=(~?{ZjV5_LYhZ#naI~krr-gex=)Eo}O{~Fc6$5vY<%!qEsxh98 z&TBiEGY2hLBs($2+Srjq-vIR4CO$@n6^Vz?mrq`EMF$quN0t?z&+ELCTQz8T`oI=% zV75YdtQ&O^d422|sFwX4>q)Nd4s8t<*l|iZSv8fR(6sW-LO%jV+GV?aehK2^`%gaj zp+5)5$75mT*$>SClUFhIokN8k3#Wq!NSG!Yx3JKHzE6V)W^}=kM(|Ea*C!_Y4^WdXF!I1`mDW%K~$dN)H7C1dBWf zTBZYq7K7&FlrhI(8X>0I#+;J1*%3S1cUl7faXL&l(akxqsgLd^2i!%wo$$OzyJ-xa z+}2GMbaFd9*t-X}92~jDxP>rT&x7Bdh2j4o%x=d;> zeNXBls+78zB8qRm-~qHi>O87Ze07R1uK1P+CR!%7LCX>Bd@ipNTtF>|9>#5g^Jz7C zfQQias5zi+QvA2bcrI;I{I?3uLBufs1qc-8CpxJ3zc1rH`k~_gk>D))iSQ4mpDO;x z75@<#_tH~}|7pPU?64`ke+j}-sM zf{8vC{v!H9@sA9?h8q$K86sz6sL)}c1vDIVB#i*Qm@WjJjZHUcA^J?Zk^-QsufaP~~Pk;Z`DMPosCAs9^BOXEQg&_vLOX%gt82nv&a2CXI?qN$+IPzdySDg%9q z%0XYDX`p|=Niyj@nhyGBngRMg%>w<5E(QH3g2tqiu*;;c5IFPY+}mfpq|k@!hCf=* z`hLMl0KI#-XQ%P*V?BMdd+t1pLl@ZVdAF}O64>W?v9I^?!2W{cC!tIK^-d1-6$cI! zdg%mpnO+JU7y+5yuekSMy7!=0dQVXMpa^y4v$ROe_EPUm^v*t`cPx5K`}IOw?*Q}; z1+RxSKqWTha{nSDADhH`6O_#};amIAj*~i@CP?jvSGm6k9_6|ip5odMU)i;NsIqB} z(pRhaVybSGx`>vlar>|g#9?9iLh4ZU3aJNDQfdo_hsP~iC$&i%6yB)tjjFy`^>2~d zPg_;JL+WhWsqozjVHV#M^*ip>i@Y~&*P{q z%A;k$Qp4h4sFaYnLTDJ&gljBpT@|R0szGyb^RUP~q4R}CK?`vJESORQI)rLLhf^Ks zNQ!}$P(A2aS_C?g8bGH|BWQ@?pwp>I=wi^zX$fc;F1Ik>GSIm=R7{tH)=)EO9JiJQ zzNksIt;FV7)J4~VuBR2Co6%>{E!ZTBZo?6^=nia@MSG|d^Z=~^ zy^q#{-j9v5=n+U;IF9Q<4`B-@;plkf=p6MSt3wWr5IpYp%a@iDi4$_RI5&LFhtC3f zoRs)F zr8cqRI_^aI7G-8@uJn5)v0M!)B!-gLu(Gc9-@_|>AsFzBUAB#_2QqN$rm@F?@wSrj zajtQV_4s7hxW;-MX|WWZkM(P;$EUl-HP+*oxyCi-@x85_vk~Pb66yt)n4d`8lfh?_ zR6>G%c2jGPNK2~yR|p}o!Eh}M+csc`5bm6HkjJP_3 zpCe4`E7V5utKe___OS^j+D28P=E8o(5uvCJahsFir7nE63$J(J4^u%`mZ*v+p2*<9 zMkU5;za(WV-UpwE1KEYP1GhRHi07sh?XY1$dcn*++=c6b`HQ9bJJ99}SK}3EJLOjb zYyPWSk#qv*L?fZZV*Jt&YuKM*J--Ai7gqgtD_>8T3Qwkm{gzW9Ch!q*FXtl2=;?Vm z&i(bZW{XZdxn4aFmFyv>$^ful@hGjbK2!{qCgRqTEhpNZayqA8cF|5_6;72LwNA`Y z>%<(jPRy%vVvbskvvefPn;S}O$lz`k;=)GNrq)qDu-`iLg=HdLw2NLx380>ry&ZJn zu`WE`g(tc2#V(9z@EQ8cUAV%9XSndCEO?f^T0gT15#T=fcjY(H{}}XE6g}9{HrQ zi9}o5(+hH6pd&G^=VorcB~ts;YEQ3!ggVeq?+#ASAb<9YIi&~VJdYDMxaMsJc7A8p zxbQlce3wK1?N*=YHj( zZ75^%xX89ccCI2N7UIwUlbD_D=EAje!}$<$Vf$z3DCxm3g75Sb!f!5MT#!&#_MB=A2e$)$GLJePH(wr9VKY9@f!12!HM}R;KY3EJ2Bt#PRx<6v0oqC zNH|aJ)Y)$0+abb5n-E4@NBJSW*WD$uC}-Z3cAVQ$ZP1{N;B(UM(8zcbu#P65ei1ar zWA%xT`)yD99icOBwlfujn@6aXoh^#p8^%$r?TV*@2{Blfcs;|!eEhiZ#MAUX;}_|d z)zs;0OJ5x({x4z|mf@L?U|!2GT#RhS*gc$^FC_nsct?>mikbZM;LZ;W|<{ch3kLyh_J z;Bozq(c>EHas95*;~MMn^~zp7t}&0-=rhvOwz6gY=6)Nd!;Vk4U3kOTD)*DndHvL; zq6bfS4!dFf6sHd#ryyKd@l=PPgx%;2g7vnX2u|1QXv9Z9aVmopC!<_=x&`|F=6~o6 zg3y^EqHQ$r1B+eQg@bhl8%L&ZKd)}jFyF6~D9`tno-bNmlUR~LXqrQ)K@0Ie!9Ie} zcRd~#LW%Qu@N #define uint unsigned int #define uchar unsigned char -sbit led1=P1^0; + sbit k1=P1^1; sbit k2=P1^2; sbit k3=P1^3; sbit k4=P1^4; sbit k5=P1^5; +sbit k6=P1^6; -void xiaoai(); +void display(); uchar code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; uchar code wei[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; -uint miao,fen,xiaoshi,ge,shi,bai,qian,wan,shiwan,t; +uint second, minute, hour, one, ten, hundred, thousand, tthousand, oht, t; void times(uint z) { @@ -20,41 +21,41 @@ void times(uint z) for(j=0; j<121; j++); } -void xiaoai() +void display() { - ge=miao%10; - shi=miao/10; - bai=fen%10; - qian=fen/10; - wan=xiaoshi%10; - shiwan=xiaoshi/10; + one=second%10; + ten=second/10; + hundred=minute%10; + thousand=minute/10; + tthousand=hour%10; + oht=hour/10; - P0=duan[ge]; + P0=duan[one]; P2=wei[7]; times(1); P2=0xff; - P0=duan[shi]; + P0=duan[ten]; P2=wei[6]; times(1); P2=0xff; - P0=duan[bai]; + P0=duan[hundred]; P2=wei[5]; times(1); P2=0xff; - P0=duan[qian]; + P0=duan[thousand]; P2=wei[4]; times(1); P2=0xff; - P0=duan[wan]; + P0=duan[tthousand]; P2=wei[3]; times(1); P2=0xff; - P0=duan[shiwan]; + P0=duan[oht]; P2=wei[2]; times(1); P2=0xff; @@ -62,57 +63,65 @@ void xiaoai() void main() { + TR0=1; EA=1; TMOD=0x01; ET0=1; TH0=(65535-50000)/256; TL0=(65535-50000)%256; -while(1) -{ - xiaoai(); - - if(k1==0) - TR0=1; - else if(k2==0) - TR0=0; - else if(k3==0) { - miao=0; - fen=0; - xiaoshi=0; - } - else if(TR0==0&&k4==0) + while(1) { - fen=fen+1; - while(!k4) { - xiaoai(); + display(); + + if(k1==0) + TR0=1; + else if(k2==0) + TR0=0; + else if(k3==0) { + second=0; + minute=0; + hour=0; } - } - else if(TR0==0&&k5==0) { - xiaoshi=xiaoshi+1; - while(!k5) { - xiaoai(); + else if(TR0==0 && k4==0) + { + second=second+1; + while(!k4) { + display(); + } + } + else if(TR0==0 && k5==0) { + minute=minute+1; + while(!k5) { + display(); + } + } + else if(TR0==0 && k6==0) { + hour=hour+1; + while(!k6) { + display(); + } } - } - if(t==20){ - t=0; - miao=miao+1; + if(t==20){ + t=0; + second=second+1; + } + else if(second==60) { + second=0; + minute=minute+1; + } + else if(minute==60) { + minute=0; + hour=hour+1; + } + else if(hour==24) { + hour=0; + } + + display(); } - else if(miao==60) { - miao=0; - fen=fen+1; - } - else if(fen==60) { - fen=0; - xiaoshi=xiaoshi+1; - } - else if(xiaoshi==24) - xiaoshi=0; - - xiaoai(); -} } void int0() interrupt 1 diff --git a/clock/c/clock.uvgui.niuyuling b/clock/c/clock.uvgui.niuyuling new file mode 100644 index 0000000..769a12a --- /dev/null +++ b/clock/c/clock.uvgui.niuyuling @@ -0,0 +1,1868 @@ + + + + -6.1 + +
### uVision Project, (C) Keil Software
+ + + + + + 38003 + Registers + 140 90 + + + 346 + Code Coverage + 1010 160 + + + 204 + Performance Analyzer + 1170 + + + + + + 1506 + Symbols + + 80 80 80 + + + 1936 + Watch 1 + + 200 133 133 + + + 1937 + Watch 2 + + 200 133 133 + + + 1935 + Call Stack + Locals + + 200 133 133 + + + 2506 + Trace Data + + 75 135 130 95 70 230 200 150 + + + 466 + Source Browser + 500 + 300 + + + + + + + + 1 + 1 + 0 + 0 + -1 + + + + + + + 44 + 2 + 3 + + -32000 + -32000 + + + -1 + -1 + + + 60 + 60 + 1212 + 656 + + + + 0 + + 239 + 0100000004000000010000000100000001000000010000000000000002000000000000000100000001000000000000002800000028000000010000000100000000000000010000002A433A5C55736572735C6E697579756C696E675C4465736B746F705C636C6F636B5C635C636C6F636B2E630000000007636C6F636B2E6300000000C5D4F200FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD500010000000000000002000000F4000000650000008007000069030000 + + + + 0 + Build + + -1 + -1 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F40000004F00000090050000DF000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1005 + 1005 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 109 + 109 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000005601000092020000 + + + + 1465 + 1465 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000002F02000090050000BF020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1466 + 1466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1467 + 1467 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1468 + 1468 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1506 + 1506 + 0 + 0 + 0 + 0 + 32767 + 0 + 16384 + 0 + + 16 + A3040000660000008D05000001010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1913 + 1913 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1935 + 1935 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 03000000320200008D050000A6020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1936 + 1936 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1937 + 1937 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 1939 + 1939 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1940 + 1940 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1941 + 1941 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 1942 + 1942 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 195 + 195 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000005601000092020000 + + + + 196 + 196 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED0000003A030000 + + + 16 + 3A000000500000005601000092020000 + + + + 197 + 197 + 1 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000006B03000080070000E7030000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 198 + 198 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000001B02000090050000BF020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 199 + 199 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 203 + 203 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + F40000006300000090050000DF000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 204 + 204 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 221 + 221 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000000000000000000000000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 2506 + 2506 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A004000063000000900500002B020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 2507 + 2507 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000002F02000090050000AB020000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 343 + 343 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 346 + 346 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 35141 + 35141 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F40000006300000090050000DF000000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35824 + 35824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 35885 + 35885 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35886 + 35886 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35887 + 35887 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35888 + 35888 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35889 + 35889 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35890 + 35890 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35891 + 35891 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35892 + 35892 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35893 + 35893 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35894 + 35894 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35895 + 35895 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35896 + 35896 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35897 + 35897 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35898 + 35898 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35899 + 35899 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35900 + 35900 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35901 + 35901 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35902 + 35902 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35903 + 35903 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35904 + 35904 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 35905 + 35905 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 38003 + 38003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000066000000ED000000A6020000 + + + 16 + 3A000000500000005601000092020000 + + + + 38007 + 38007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000006B03000090050000E7030000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 436 + 436 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A000000500000005601000092020000 + + + + 437 + 437 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 440 + 440 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 463 + 463 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A000000500000005601000092020000 + + + + 466 + 466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000006E0300008D050000CE030000 + + + 16 + 3A000000500000005601000092020000 + + + + 470 + 470 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + F7000000660000008D050000C6000000 + + + 16 + 3A0000005000000002030000E0000000 + + + + 50000 + 50000 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50001 + 50001 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50002 + 50002 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50003 + 50003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50004 + 50004 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50005 + 50005 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50006 + 50006 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50007 + 50007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50008 + 50008 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50009 + 50009 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50010 + 50010 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50011 + 50011 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50012 + 50012 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50013 + 50013 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50014 + 50014 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50015 + 50015 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50016 + 50016 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50017 + 50017 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50018 + 50018 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 50019 + 50019 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + A3040000660000008D0500007E010000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 59392 + 59392 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 0000000000000000C40300001C000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59393 + 0 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000E703000080070000FA030000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59399 + 59399 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 1 + + 16 + 000000001C000000E701000038000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59400 + 59400 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 2 + + 16 + 00000000380000006F02000054000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 824 + 824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000320200008D05000092020000 + + + 16 + 3A000000500000002A0100001B010000 + + + + 3317 + 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 + + + 59392 + File + + 2213 + 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 + + + 1423 + 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 + + + 1423 + 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 + + + + 59399 + Build + + 976 + 00200000010000001000FFFF01001100434D4643546F6F6C426172427574746F6ECF7F0000000000001C0000000000000000000000000000000001000000010000000180D07F0000000000001D000000000000000000000000000000000100000001000000018030800000000000001E000000000000000000000000000000000100000001000000FFFF01001500434D4643546F6F6C4261724D656E75427574746F6EC7040000000000006A0000000C4261746368204275696C2664000000000000000000000000010000000100000000000000000000000100000004000580C7040000000000006A0000000C4261746368204275696C266400000000000000000000000001000000010000000000000000000000010000000000058046070000000000006B0000000D42617463682052656275696C640000000000000000000000000100000001000000000000000000000001000000000005804707000000000000FFFFFFFF0B426174636820436C65616E0100000000000000000000000100000001000000000000000000000001000000000005809E8A0000000000001F0000000F4261746326682053657475702E2E2E000000000000000000000000010000000100000000000000000000000100000000000180D17F0000000004002000000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001804C8A0000000004002100000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000FFFF01001900434D4643546F6F6C426172436F6D626F426F78427574746F6EBA000000000000000000000000000000000000000000000000010000000100000096000000030020500000000008546172676574203196000000000000000100085461726765742031000000000180EB880000000000002200000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180C07F000000000000230000000000000000000000000000000001000000010000000180B08A000000000400240000000000000000000000000000000001000000010000000180A8010000000004004E00000000000000000000000000000000010000000100000001807202000000000400530000000000000000000000000000000001000000010000000180BE010000000004005000000000000000000000000000000000010000000100000000000000054275696C64FF7F0000 + + + 583 + 1000FFFF01001100434D4643546F6F6C426172427574746F6ECF7F000000000000FFFFFFFF0001000000000000000100000000000000010000000180D07F000000000000FFFFFFFF00010000000000000001000000000000000100000001803080000000000000FFFFFFFF00010000000000000001000000000000000100000001809E8A000000000000FFFFFFFF0001000000000000000100000000000000010000000180D17F000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001804C8A000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001806680000000000000FFFFFFFF0001000000000000000100000000000000010000000180EB88000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF0000000000000000000100000000000000010000000180C07F000000000000FFFFFFFF0001000000000000000100000000000000010000000180B08A000000000000FFFFFFFF0001000000000000000100000000000000010000000180A801000000000000FFFFFFFF00010000000000000001000000000000000100000001807202000000000000FFFFFFFF0001000000000000000100000000000000010000000180BE01000000000000FFFFFFFF000100000000000000010000000000000001000000 + + + 583 + 1000FFFF01001100434D4643546F6F6C426172427574746F6ECF7F000000000000000000000000000000000000000000000001000000010000000180D07F00000000000001000000000000000000000000000000000100000001000000018030800000000000000200000000000000000000000000000000010000000100000001809E8A000000000000030000000000000000000000000000000001000000010000000180D17F0000000000000400000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001804C8A0000000000000500000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001806680000000000000060000000000000000000000000000000001000000010000000180EB880000000000000700000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180C07F000000000000080000000000000000000000000000000001000000010000000180B08A000000000000090000000000000000000000000000000001000000010000000180A8010000000000000A000000000000000000000000000000000100000001000000018072020000000000000B0000000000000000000000000000000001000000010000000180BE010000000000000C000000000000000000000000000000000100000001000000 + + + + 59400 + Debug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clock.c + 22 + 1 + 109 + 1 + + 0 + + + + +
diff --git a/clock/c/clock.uvopt b/clock/c/clock.uvopt index 2afbd62..94789b5 100644 --- a/clock/c/clock.uvopt +++ b/clock/c/clock.uvopt @@ -26,7 +26,7 @@ 0x0 MCS-51 - 24000000 + 12000000 1 1 @@ -176,7 +176,7 @@ Source Group 1 - 0 + 1 0 0 0 diff --git a/clock/c/clock.uvproj b/clock/c/clock.uvproj index db32dd0..f116497 100644 --- a/clock/c/clock.uvproj +++ b/clock/c/clock.uvproj @@ -233,7 +233,7 @@ 0 0x0 - 0x10000 + 0xffff 0 diff --git a/clock/circuit/Last Loaded clock.pdsbak b/clock/circuit/Last Loaded clock.pdsbak new file mode 100644 index 0000000000000000000000000000000000000000..56d5eab2c251882eed2703af3bab9e921acb7015 GIT binary patch literal 19391 zcmZU4b9|j$vv!*_PGj3fW81cE+qTu%wvEQNjmEaEZ@15L-uIl}_s5MjGi&A=thu$f ztOW2kq%S~Uz`w+OX_jO-ogDA|^5x4jV1oi|vhp(GLIR33s#20#lY9}qKj1*N+PVnt z;^LW=EVzggr=^XOl*bGmr|MAP89~~pUM{1?cJyp6xwuni%3tpZyj$07FFImJ1jS~a zt3O;HNC{muqmt#JH^F>3HQhJSpN~L)jf%7uo`WG}!~_~!cGp^|^T`G{>E;!x3+DA= zJn-fc--Ay5X+3W~8$B#0}aNY*+;R#Qu$sZ&TA>3N_j z-{EeKIm1kRtC;A7u0sgv67aeymd)!=Gg1@uvMoPQQy#usmRL9pGpA_Cb5?aflsG4Q z_DZo?cA1_(5K6HhZKeM)>Yn%`sBxQO2v zG*xb9U>x6p!gCy`E~mHejMurumagrm76sNgj$sU>-%7}tLQlEEViQDPFua-_K@EI= z&mcYxGtG6)b!beKOgHUT`;D7mYE-HdX~V{WtSk7hOd!yq%HJ@c^R<-uVuEb0tXV7$$zACBN`CPD3PBeRVoP{Uat4zoN;XhFHA+R-R@byZRQ>@C_P#Y}M^xg-VU!Rk~x7j7uabqc#N zYRH>T3uY#wNSZ0*XJK?y$eW~BS1{EQNJnr=p7|cc%;+eQw?VJ2a#R#-Eitbnj0tIF zeiSX(Hg;6R-^}QUdW#txAn+pi^J_PqCgZ&gUT5XD2f`tVr^Ge~MklSEUT-sUXZbc5 zf{Wx+bnheMo9s>%#HP|yXE3hVZ-#9TNS^5{gRoZ;Po3=$3~z$#DhM9gr`X`^QHgnt zPQegJuElAFb~lHVEQzyNeHq3F&z`FBc!Pl~^RoeetyD77aIU%KF_1QT1!-iDs@f@( zvr$Dhg|nbRZk-xRhkec3WQnVxGvE7UQaP^|$_KlEC4DZc)(*ed`NcdUr!ybj!y&V*$asQZ zQ2}J=#8TGU)J`c6M zZhCk5n10@T(BgrbF}J{=;p)79SDMFNA8|+!F<^>}=t-;qRYQu|5rdoDsf8+zUYldz zt=Ah>3tE9qwwCED=)uI>IO+upYj7Q%b({wOqVYdcR4Ie6jR59Yv-Sg-evUOXet7 z{;Wo^{btGCQYq&Tindcl9E@V`OCOo{g9e9YGXI^dW`1|NZZOfkp#=i`2~p- zyrn>$RkKtb{>p3;?DRrA^%J+Gw3yXk(i;OIqlh zTc}}!s~2|Y@y!7Za=QuazQA_6ZEI+cJOSTcefCfFz+Rf`Hhv1`9jnT8p-A7k47r2K zYr=(jQzMK9wh-|v{Mx4D;H*mzafw83xOfxPBWY#-@EFp9TJft#sR3=WMKAmD>@*1T z+dW_8RO3W$JB!O>*$)`Z6$laklRW8K{#uy_C$TDV7I{K7>tQb*?AV42_CvtOH1bCI zDf|w&U$D5Gj4&&rf?lgPR@rc2?%t<-+fCgL^h0PzC&SN24o-<$XQtJg0-IiMVU9d; zNRI7^=cj|*z6URaY+`(^l#3-osl{RqlSMf63#w3!HSqMMq}8`$lN#b}K^^)T-18~d z$vjS*L$bH)WrN3AoAtF7f5VC{#)SZ&-Q;2#NfLRI9~ug2?IpAc>X=dx{!$RaNXGsz zvaAenqePNKLVU#DY$I{1K13)qh2;4B+yNtsd6edphrDKd`t@*B}VQl2`Yq2Bjq^ zVhIqNR0%*L@L4SQ!-_Jj#}7Ps!DGV-N6R^Jf8P64u3kclIyh*A3Ca=`AnUGBuIs^2WO-RG`g+B z5e-hs^bdn;#UIgveWj+jmvWzv)!Gi%mu@HgBdy=}{VOXytdKD)A{)3RzwEMH*Q5?o zHR+Nq88nA&V&t3?{=S+AHL`~mk`KL?AV1?Fk>_QT{jOLHN~X)ipU9ZR3psx@)BhnI z&Bj&t@T8@v!lwPLgf>Zf=*8o%<^0WsfrwQjzJDsW&S(=*97fzi!3oDxwSf>%Pog_p|s`;AhE?C9fyf*dOXmsHC@-yu%9@qt%UAw6j08o8Td_*I9@|;$UcpseBWnQ z5OG?S9X-Buy^YOF)$W`spN*LD<)h`u#Bre?MGtch0o_4QVA3_muXMkkDy8S!U5-Pj zbt};myQeyW&HB`@Z4U^iC| zR=DS9u{H$`R4l8~OnYcM&njYcbo6B`1kR};A-yIx>_kheXBBdP6 ztt*P_)6|Sy-0h%4!vZ6%xfIE)d?haZeZj6w<_)0=sXc(@W5sz+e9&Rx6UP?<8bp-Jx5Rn zXkIY6JB1jdP?pNcnYrrcKzFK;dxqeGFz0v)bCO<-mRCISvh%NF`d2)NlHcDFk&NS` z-@Q+3iLniK45>3gMTg2^rjD_J;x89)MKGl=%L zr5VpJ^IM;3bq`W24H_rM1%Z~0*D^4#gL$Q+r_ieAjjZ#c2uj_tU@T@}vcFew9n4}x z#ueg8XS8Cv>E|8nV2xQ_8?ju;=ma|Ou;h(mNL9s^`jt@h>_o6<>|Kgh{#5j|T42UZ zuiU6~d`o8!bSVXfqOySUYH*!^Tq~;zb$>79R@(KN%;F4&$y$YTY93)4GO&=bgh0OQ z{GPd>x^GeUp(HZ}^ei)a-xS1bchAx(imQRq!dp8Zyt%@nKhH34=xMK>EYKnK>pitf zo?_GLvVhqB0>4W$V!lmTa5OV40 zbRtTLJMA=kVP#w6Q&utm>v_m`Vyl^|NRqplufpCQ`)Bq&&RO@mmB%8RiC@X)EN8xra>f*Q-Nv z;gl&&P7n(@kM5BvUw^=@4M(`12gM%gQeky;ZmZWClk@y@R8SnP(O>QBLE4-`LddWC_DI5NW&y*6~dnL11E#zbvws1hNZFR^kj zZW0dH#5dK`;YfrK>A6@_X^5px2GVEH#HD9pVQy3w29q|~mNlLdVx?Y#cKA&SGgb-P z)YR9~vM?uM78M(FMoI1;ht-b+a$qw2x~@Q(l#xUYCWVaJxNs#%xF&g}6+pfUG6VNH z%44D+WNAwY$A*M@KH7&0rF!Gud}91$K!>dUelN)J3Wmq!j1h$ohIk#x9d5Z>eZ(P^ zKb*zpimpQ}@+^|e1A8z8ITt-ncAyhdWvy%B{Y(%fU8B0`_bdeNaK@;U; zfF>fcq^qriTn5N1jlWWrf!Fv!P5^`%|Wh2PI85A7H!>#05TKhw_o_U1HhDWl=zvFIy$B#<_nyiIhBf$C0yWnGn|;pdhBt-&i+?WHPt1}oPYO*LMap%of|tK7V+iMg#()pHkrLy zEw`ZtRD&um8SZ}=V;}pDqo_vCX|$uQ_d`?2D@h8=dxM)Cy#zeEa>m7~duty%m*E-Buo3>N2WtJS>p&^mY5syI!mo8*Q$?{OSKuXxHV+;3RE{i){7bIN2($*UM?WV2gAiG?29uSVaP<~ zx$!Eje?Uz5eI!OZ?Qz@!{TmcWHVc=o$^Wgv`eOkT`_yzL6E)6y@%Qwes)&rHeeN>m zO+M7$SQLG{kpMv6UrPPgkJeM5A$wu(ZUCyI7+UnC*l%YBxl-89;SnOyg#m~tIa-D>Ka^Y#{7V*C$Y1!Hyz&~Yna|C;s&;2^~ z9#55cU_c?1bD1{tSkCZva{L0yf*4?%Q2RrQlI3MNz6cS@h?sjH++ck{Jkm>P`8H^u zHV|c5e*t|R1gGh6ZEEhA$MeAHnaypnJx+#4!Bc-k4A5QkqL=T#;iy77`>!Kv3aVNn zIfR3N-uPMK7FlOq$pzRRD zO&9YKFYh>XJ)a-Lf%syt{^Pn+G<^{Ok z3euc>4Jko#;6=YbkB%)7a|+pB#iVikVx(e!5HI~Tgtflk(Am7@XZkXK!C+O6akb3F zt{Ph=c4}wN%&E$h#?Up|k>y#|sCFYE2VGq{GfdDe2MOdd(LCa)V0>6hkoP`o5j^h8P>}TQW)AS z)^^vOtDUgE2*3a*voGReon_v9*Mj@kQ_HdHrW~dp_JBdeP@cEQ_V`W`q6y03j;wzF ziLCy9$`2H9i&)boUYQs)L~nh377LuBO|ItIy`A|HzJ8-@*VYo|7VhVLmgyccC{8`YN>G70&lJgG+t;iY9E25P>XlpdFoR>>-;h zmlluPt_1B(9LQQrD`+@lF_ufr<%O=)EV=jEb4OA4<%8Jag7Pztt5d~-`S}Vf$7biF z>p>L-M2xWkBFssw?0 zd&1r6k(EOHM2vW{GDf5KiX5K9gl)*^(N17R2r=ldJl>C3PZe?vIafF1kIya7rY`0O z?Ge?iTpjQ^l=wDRBjsTY5%PSh*J9UW7mA>sOV3J}sRLs(7lWZVtzFA%3}*Xv1sK<} zd9CtJLGw6`^J~P+!e*J{g=R-$A&XZwE@U~e@7)Sh7Vk}ROzb@c4lO)T_E|0(qQu0%tlH*@pJB?QQz{OTSila%7ueF|=9# z=hzi%&9nm!66shTAfB5OTQw>hw_#aOt|uer9uXV;p%lAeUXTx~RL~seh^0Sgf_QM} zOsF;AMb*(Kih55g@%Rc2gzsw))Ww&hX`O)Z4KVVz_s`+OGnAE{wuA%6Pe8OK*ROflLGBxHnJUi-j`B8qFP<;nZuZ}yIZ$SN6k#9m9k2gl}V-= zm2?IZ+VYLcL_5rp6(#1@2XTZ*d?hL5c?xCDT_Zmwvyg#yfl!ZJ*NjS- z_+>BSN<(T$?m9Q_b2iXtJ=IWaY=eKCGq03&GW^}R~#3#JiDd zzJO`1jHNJ@x9>oV0u}c-5FpqnH(vp1qn-UUpTMh`224-sSpbW|`L zfVdIRvO7=Rp3K))D>z3lR^MBIIioj+pGHA|2pxe}@H)c1JKazAeBo=4?tu)PwyQ-3 z2XcT`#tV#&sN_B31`rD#zHoq+Q?g+NAcOP#q5fd`k0>qZkS+-SQOh#qH_-nQiT^`X z*h8z}J<&Qtv-HMS_gj$!mDO?#oQ7BRgWFLkH2A^$L*##EiN3P~rThI=|6f|=CL2&X zb{H#76HuuGQgrvf01t-g02nD-h*$(RW(bDK)>E%6_{^$Zt+;lWKnR8~7r+ zApU7shZVd}zNf4vAg#MqL6Z*3cvxBXRVEPpmw)!^bItv3laPT{Jd^iSd<_}dRSujp z%Gp3y0hmsNPn_1Hu7eQkbwX39N-f(}CJ{%JB9orc{37E>M}3!Y`0Xx!rI6TklwdC3 zVy3$xXolg~^^15prd+dnH-6vtD+WcUBnC{eUvVm-?h*~rE9Bf~!)=e6eDX>v9fhi~ zJv~1`DXx3zxcB@TM=F`16s}nA`c_2Y*k6J57J%C>y0DJyF#=#6E$G1EgIeg80Su4! z=q$g9l+j$kyHGKJxYMW?z-6cR{9}pdrj0-;ncJ2lF%^jGV@lEo-TxnWmm7o|$7p=) zNvS|y-UgYfUp#W6Q_7}OxhR2cSe2mB=DT}Rx#DwRd8m6Uw}SwNKSATY?kD6A73+R6xJInV_CR z`AwduerxpN4ZQzUk^wk7o|Wk5Q)3LFglb@M_#o-8UCAUHNYo)98!utHi_|#HliboG+P*PbCo{&WqxdR$HuZUN$~PoSPtjT^n3J}Z5xU&)B*)A&KR z$;IPG9Lxpf@i4bT$bG6uQ5SjJ=pj@ZN8Z$tU4z%ck#3R5=&Z?IMiNPW@)Iz3z64qd z4Pwz)V6OA}8N+JLSBT^T3}!p-uleK|E4k|x)p}>!Ds)YX7r@W6l;{#muE^8dl%2E}Mm`jbsBLQGJZI7#d$A&d z-fI%o1A3oN0$xL11D%Lluvo0RtKZu?=HJ=h&`Z~2o+^WvWS|qa8x_1yA$^|mKAnSj zY96{Qx62oNE+2GSdu`mOx_(h~UB>e`C3emFP(O8SKCUeKFtua81KX&4F5EPif0{qH z&G1}V-@*P%RDQN`A3PQR?EXuB0k8m@^SutW56cVKM%0I&%iO13R21ebY`fOG%Gl-~ zO1{t5`Vp?{AAzcm^6mAb?8f$u#J{5dWj_2PAoU5*^3IduR!R8|d|C7fd?^gD<@WFK zKTvkrd08$2YVZE5I_mu&`v#wWE(V{ZJg!OQAAeE*)lmB{Vt^y*f63L)zf-IJaqH6f z1MD9v05!n(zh(zN4Bm(Tpuhb9+xUy>e|hr0+5S;{1+^#Tq)aM^C^vY5645Aj3n9g> zR04y%m`MU&A+1|=i+-#O_G1Zf-%@^?d=avX@OD^x+lw7&mY0 zXQFg7QAe7FfYb}?iVk822Qv;5B7eBg*8&zJg;b}2*k`bZ!`UjqX*%-8$c9V`cHnO5 z;~WvnWRLn==%Vnq21QFqk&8^E>7rXfIS~k@t~>r#ADLC=HzOg1T0tVfGylk$A`MSj zVZFghEWfj&idbZ)Wb9AC-Kso-f_I4h5H}QZ zhI6+9r)G1)uv#3_jk-!_jgrIxNm1i=m|UgB5F^BpXmLS*|FShgBt)}-u!4f*T>-yW zyLBH(g7oQ#bhm()x41-%h)P}te)dHD{&T_)|9mfM$%;NbYNLmMXzSLM2an}|4 z`O+rR)pOIMw!)&RhG!?qCaX|-;ufWaR%cw&86|SZKyd|wa{p{?7U09loy6j{`yk2! zCTW_@*5XG7hBRZk7u;4kf+ur=8w`<%t0a3L;hXJon6PDbZ&qGQ=dR%ct?4p!uvH5< zDNeOX)Mmw;%qf9m@0e!|L_2%71}ka%>?4&v`G-ZcL$5?8kTAIRZp>2hRoZ>t8ok># zDAKB+%M$;Ps_suxSJoa1=*&aMl^8j9P(32xUE48xunfe485{#U1MVO zT}nZXFWCeN5*TWxE>AdEz;UM?sgB0hMQ|t*beKvYsL(w~7&8%z8Z_t`2*YI@R>m{9 ztD^>0bb6J|mP)XrQ`!}~^$-XrhiC51W|ABfTmLqr5J4KvJc#e&Sg_l*+wn#uZG!%s zHF33U+uWN-8~a(*P*R`BF#!&#L<&*id@F29(aI~Y%JEy%0!~#bU8P`-GDSQ5goZ62 ziW<{}-gu6^d&iApftzqI<>9Idc)rY^17>pbY=aNGt zb5iEA{IdG-d3|FV%S?L;N|r4Jh73`h*6*7h7d!8pQN0bu!BV4R&H_dAEADXPlfl=c zJHx87N4XR5x(N+d!u!MqeH}9kYODAI;boKc;Z?Db&cT6z(#}^sHNvaEZ~<9-Jj?8WVQq zzS%gf#n|C^>qW`ImfvER=7zeG`gV`z6w{jpbe|N)eg#VmS|Bh*!^$f>O;?(5w{WV@ znvA+c%U{4-?_R@bONe(20wvHVuCs6*D$xwxGyZ`QX4Hx}}Jvga##(7ZvTbaOQ<&Bs6L;leK#BgmUA=nsgL1a)6X%l9mVB-Gf7i zTYiA2=#T`>TBokaLw!c(CKNDh!V#{xqe~x}RHXTv852$v2oLv1-YbTJKskdz(He@A zfP($GzK^%LW|hYvN!6sZ-ZuO@CnBmO{qcfkNMl{9oeEE7c2F-XI|I|WmHC~yOc9}UaWMa{R*lNT(MC|7rrn<@7*kTvF-&YV2?0MC>`lvA3Zv_qbZ6O+ zEEmf+3wW|~DYNmf#VJXH`g1Mia(I>xpe#E-^OnWhWw?y(**=x$K=4zCI&z99pJWx?felchm%89L*OGoC?B2Ns^)|$)cM%O3|yDZs~tznrUFQ2H=qa?zH{9N z#pA4va(SXqOW{qE_Jdp}wKqZ&doYMcZsrSo07-@B(p^&~zW69PT#O0c&#K8Ugjp^t zLOAb3W^CQao6oQxFNuy(2&l^nr8BNl^Vx<7703tCZ@>1$uu>uIO@sa)NF7|PeR`N)GYaJJ$O zAC$xUSDzZ1*kzrMeU<6{{UOVU_w^Q!w%~b&bokXDFSZ2AOK@}k6A#$vESZjX+jx~# zi2j^S8~18qx(-q;L3}bmm|G))i{pc0?=D8K`*-zys9GT1s66J}6nU;hpyh|7wF@9P zMo7c|iEN$E&1!Cz%`i^ri0xhjc zP~hCXdky_P5?%%p$n1MxwG*nE%Wh%zPh%D>J3}Cnoo4^Wb`{nHk=E_@O$YK7E=m# z&z*JAnzUL8{4Vqt1ld3vkat(qfad2(#VIsINtO%gwX1-byD% zA~QT)jU5;!ZBYss4+6ZkFdN9upRAe9lN@V3a7`j}xl7fFtoruR3JYfY2(bKFc=ufw zMcgqrU%nEpd;=8Y<-1W^jb(b?EC~T9v-KvAqwILrBKZH*Yt$-H$5>}`L6kXI6+cdg zft9}W;GZ}#GIz8KL~;S22Y!h=u#DT-PYGFq;lhwdW&Uzg#67kDcU5k+wUtf@0E$eE znQyku61UyiaHSqFW~5~PvzgKzyL!(StdqUefsf&28#fJ z^!Vsv=Kmt*z$~WICiVM%B`a-ER)(8hU5_?nE1AcmgVOUR3fDQP%gwaQ!lU_;dM)VQ z{hh|_5)Sya@c`REKl*uRq1TGF_@5;hg+tsiR*QNVzR~*0&}Q_x(O_3xV{^G7^ruBs z^;@4zk-=83+7hkshym7qdbB0t;wdFZVTSwO9`z{jq9)Cx(23C!&Mq6d1_Xm5>%N$nyzWIO4jZ54;+2HmiBgMm^%Kl#ihn4=tM6BT_pxhoVrc;-W9wyD6VK?mKQ+g_Wy3Q^`b2}Pf z0a>$9J}-nrYG9to9!VyUA(g-flnTQna^?NBLi6ZDhs~un-O%gKD>+~_Y;+weoBxSN zr!CRMSK^K~`?Iz!61srbb?uc+_<)Z#l6M%os^`U5vTq=jQnx0pdXdHPg+K3THV>QE z@diCI%CRnyZQypf0L#LIGw5u4J3X-D^(9KbeJGZ31K{v0dNsB>WdY49%bt`2Ck-Iw zAX9CV9f_+9d;I0JSYi&mfD#3?ry1yI3$;6!{+!Re55}sMH*P>wbgj~O0IRSL36KNq zix$4fM^+WKjoq7~Yn($Fz;&Jf0qz~>!Fo@aZev#z<5KKp^|fvB)-UbV2}fMj}vsafJ>Nk5`#uelH3%qAj=e{y-ApZ9KXxugSFqVAg#?#b_wOE8tl@~G#SH${(Z z{}jsmJHRHOQ2w>4)dZ`};jZC89q|#3cBeC+z3BX~E`{F+T=8H04Sj3eB#Z5=D!&c} z_N6WzCbJjYT_INl?x2?2U^o77;x-=RIv+l`8%`CN9v_*y+<4n7yRMY}X4b>>IY1xl zv$Hx>|!b-Xk4^EU-^L(kwUcyI#Xxv$Jo>{xp_Vg&27cVK>u- z_HQV8X zv1^;$5LO`@^}%`{Sl_!Z_O3Je|5nPS!#|Z0vhhLIXfhn6%*FP{mkp!Wt;YOjrlq>0 z%TT^gAwawSoS;<@J^ylw@t-uDUk!2pYtPng?lt4K`1!jGzDKC{SC7ZW*MYa-zu+w{ zFFh`w(>6AbJx3Q`hi%M`;gPynv+YGLO{frnZ>}I$C57~5iRy@T8bmFV!e&*<_EXU+ zek+qWhY6lZl}bWK2%afcN=AkXVx^QyMurQn+RMi-QzO(qH__LM5bem{jP`4*C4PFQ zAV{#-G!B>Lj3^N`jh5L`E0Hvfl(oO6qlC4?>nbSd8l-5EUNUE!t=;fDmzrW~7;m`j zIez++1=!@raG6sKT-SM&F0MYARDP$yHasDlw$sAwl2{*Kimp<(*p+4(Qn3P}abnPE zYc;Qo;#kMhX@qD!XSr>dt6W@dIb#`8vP#oBIdENhFE;;2T3z$RxYK!jQ61Bzm95i! z?nIvDwz0ewAU!v6t(4AOmF{24Ed6V~HD7lBBK!7t`N&4bYnNL^w5vjD`}szds<9Up zHWTh`bpPy#t7!l`^bsuwr0E#7Ux2|@?MII(#T?-4AC2YySrK)Wdav4jr>c*MfsQo+ zOQ(V6nB=}~jdGva{;b1qViQw@_#n<-S81a%d%}{3rmM<@^%}OSQW2r~lP`%(S_T|- z8_rSIRoCXATBiQVQgSMG&2TZoFL46OLyPV1aTQj>Yy00l2scWa*VcB=nN((ZCZTrk zLa1SkRylg9KNO0pB6)5g2RD9&)+XNYre&^JG-9gGPho3So?RK?(y&`KIx)D)V(r91 z{P1Zj1nxE@z>cma#^AYiIf`WFdYgb0&UH-y@nXl^l<8DHhQr5D@A3D}oY;u*DUKsa zjee-s^@GWbw~bJBMqtU*!`}1!N>AtEt%xM16K~^0wqw8-Al;%{l5^|8&I8qS>Zlw| zz^3Ip2clXdRa|e6HgfErK{M=M$)1_GD=NJ-ew?OOBLraJjU219YC%CU|CvF=rbSt7 zVbqf&ZL%*LH`i#_%8UYyc7Z|blf&OD<`WS? z?7;$h6>t7b=!^2t#!Btja9eNTa+JDqJWH((eXnptj0yFlT>ly)r(nzo6buhte0ZK_ z#sofM)S{%fhG=;K4u%ONF3;Ib@-64lCF4Vzgk%ShFMxxI;TG%tqcwo4 zo_uqG9%hp(k6MVg(qJ2E4-}}Q=U|5EgmqZgFD$NEgm%Q{egtR=N=I8~X?Fx^N=H}X z&@{M2+Op%Qu|tayzs%N-(OX1`eIxHDVRk7!D%_OY%HIMQc(Q^bb)VuCWWHa^! zZQlg6GHm`ty%N^HHqK^2hYd+jUnsqfk{8a5Jv-ROHUNo|UhTUMRf#{F2sr~G!JdG& z)sy4XM{K|9Gx%jOtWwfU5&RZJRD7dR6g<@E>g~$Np_Iv*A<7rb+QtLar0%HVYzSr~ z+o1?&MZxrQ9Q;`3JcUX$<|~8mq6mHFX$TadhRCgk>2GF`ua{`)r7yM;@i$J8=Gjv2 zT?LP81+bzo-Dh{e$8fl9GhOwFBKhr&4^H8_p!WMy-De=B6+Hv=>lxyr9t>{`p?!yi zf&zY%8^4n()!pgSu@`!u$veX0Il_ZC?qdaIOfFCE)8qxr*daMAY{GkjD)^A=iZCd0 zG0KFMN$@w&i=0)C`+8j}%@@|$XhtDz8tLIXy^8>E78{t_PNh(piC0P0Z zqjWH3YDmGumWVqdEpu4hpt{V+yijnfcaClh!&%HcUvG;g+5=OM$uT{eXwB6HU0=E zkmKEt`v%^UY>qTLux@xHBte4=``iNG1n;ZeA*mDMTTs)=*{LrjmidDp%A1%K=1ARr z+#2x=4;@Hb=QjTbw}gvdxEOd?y-JO&-2**tqA9sxpVju}`U5H@|djA>|~ z=1-!=L{DD(ue0R!fr}-^XoB;4g0qhP1Zz>EX&kbK>F{ERAmNrw1ZK*-vDCsuQeVmH zRzeG|xrIQZL@|CV81f3;#Bvh64Kg54CD4c)b1LMfMVU~@L|jV>nK5ORB3S`Aywx3O zUUEs^gfIfs;ZSIHj8%EVIq+fuWZ{;~1ZMq$e-wX@A*)*mEvSVPYC?}?v@8(qNU+zG ziMs&|LW@Pa;7f(ikZ^zOEL>&m1PF5u?jS8$_Uolc+EEK0FdET`zv}-_c0^C$fG{?BBG)8X-a#Fi;eQ0 zzmn(Epn4ypT>dd*yuhy!p{2O0$

#4rf^@c@-i-tB zb0LLBL4OhKx(N=g1~7 z9k6<+IVwB+Oeni9LxNo>L5m?v%OxD3ERt_ivH<%FE37$P=V*r_`8?~o z#kY3eMSt5@hwG|jf539c!eKog1pM{asihllbCu zUP5uuuvOY+k(!kNgzf;TzFx3cIdp`psU%-rX7O>kOi*z<3G^09pV~mP88cVoBb;@y ztipJpgdZibsami;%Bjj-bv3`(T?^lv*>pFtce$(#lFjyV=0xL`d~4yBc*|GntIi8O z>Q&P-g6(vXuS?fB$lEpGyk6?7&yx|&md#YMuZAV$Q$4N2*H6#RZ@&U5 zj3rp6jLVpU{63Q(wlDzvvJOgVd8)m($Hc>j7`2?c9_AVW8nrGTBc>oid9WdI*~rar zfJR&%i;;*C-V_p=BKFm57axE5fDgYRCk`yzz=lO>btzDdS>tDT(dS9{er<@Wz*}o4 zaNWcD&y|eVsn-xw+fD^E2v8*ue|-x@!*0eCwxVHFR~E`2Sj!E!>a<6L!+9U^xES_53h+% z-=(D!D0i>(P83b3*^_+?>xb=4l$YkjkMk1KqP$h&=(}U_wcnxU`8RUuaS5w;7uS@x z2K&^Cp))d-6DRCU#7NqZG|k_ZqUC5Q%8y@BPZS>RBZ^I*Ty#}Rcby-P1g@YJ~n$@u(z9sPt{%PeNDHUUHe^Kor`@J z6t2rkDjv((=QlM2ORlQaJiA5tcF^ zvey?&n|L}KOpInbHD|yLq5H&(?j-)IqRG1yj0wl8`rohEXMniB5zTgt1dJhq(%~qyr@7* zX>vLyTa1x}WOFY|e@g9rWV6gZLn5z=^crwU+m=joBWTRn2@3{2#9kHo(G^0T6WSf5 zlMK-}!~|Z#nim3&{ln-1n#c%ss>wI7ULJn!*sX&f9lkMR-G&2NUoQf4F zC!}FyLoaX&2i@8IEN82^Io7}4^6H{hFLwj|p1J@{!t#07rB#mZ8T|32b6agpm~T*E zeDqBi&RpA4`L^uq^_Ik$dr8%qd+7G>$rJgH(<3ujtzG>gs)YuiGv8&N}BweX{ zvn7!2P^osjRW@L#m7@G)}>vZv(4=30~}#Al_6ML8J&yg%qvEIi&xVUbCFoU;Gm z9j(8#159PJzuuheQjdy^j#c08{xYj^hi`{Btzl$StKpg>zKUnX?qG!>VeOWt<{i$lT9Ee4Sn(DLV*=AP%4X%-qQ z`4??<>pT^k+nTPL+{=Nz*Kg9aCA1E6zD(p?dsbgu0!n|@Y1oEUeQr(nQaj}NT%{7e z2Q0iDZEksL9^oz>lfTna;<|B--FLb6oxSMrm-k&Y^XJoA59tXjhPs*?(+A=rQ`6^! zpj8s9SWd4AFm%d|3weCWW;2J?W#T#S0jOyJYdT`@;L6bE?s>UO2;r*fiGC;D6sPq{ z72ZLs+9nK!gm)2X$T@A>7kwQ1|6HijRe0gf_srZ2QE^kfs$0452vzNlfZk~{0M*6HG7BYg+M?NH!SlZM-YnGplkfjj9Xvh+$h)}{ZO@jy>w zgT8I!^mq&;>d;lxWxJcS9eQF@7oGqH6>6Gg%%iiYIpAUc^7%ZY0r)C4-}#I3?HmmB z|>YSj>>~yvMkop|}K{$861({Vcd;D|E&~ zqA2NS6zOZZ)7X`)_m(}D+R&Vre_$vwPmvJ8Tt?W*nO@7PFw!`ST^)ymrRv^=g&^Tp zyVAsam>ZYMV6WGLx;Tdim|W@%9l=U^&>_Ek{Nz$@A9c|dog)a`%3+<*2A2gYg~Rzh z7tZsOZE%;xszw8MfZr|!`>S}`gjnFv<5=??E_v!TFlGigqUb=6_Q51vj-VZKZ@tO& zP(N;RSnb#vjf1-8fS&U=ve;i)q*d8HxWh=a(@D+C6PmTMSjHm0amoV3z4`yIjw=mk zLrcS!k`#@tRrjfpM(s7It@b6} znW7y3>(k z0{%&5`d3#jj%rc&1frF1ZnT7&_Xl8|vo7ameS4E%_DXWZyh^I2yDB?lPJfY^Eh+M7 zYNtITc{~_kI|tR9jzW--zPHmzb^i1OVv%z}y*jy<$|61;T?6Z&2bxi>zRDzSLyK#A z$Dfp5O}@3>r`}Q5F8{C{W*Lerc@p&^W+|b|BLEPa{uq_U?r+rg{kf$^RG(Tf^O=I+ zer9b5r75+caTt`>^AOd_tO)4noEHz2`zf}VSTvkhzj1cBPwNZ%*M{YHgD2HK8KRqr zMRmQSduJL#TCka;fv(^8(oX7uw5G~~nG$sl0S1Rw#Xbi@kOFWCxTFWFNtoMXgr8cs@iM45`d zimVVS^e^Th3_75M$!f7L6EM=iM3|*pA%*XfecQCV=Es*PLS5!e7@DQkOYOSMO|mb6 zHg2`jy7`KbZ&F-ZRZGn^g}88;Br*nhQM(6oohaT;ul$%j^){Q3n7LduU5EgtZ##L+ zhY1!u;t@bzM{q-g_^eXFE<046OR*-qPnLqF-+QxPC&Ut)vVLYK(;#_dM>^;hCpDG# zi!ty4bU=;oIUxeU$Inm=-6kadqFBKT4Y|!BcWyud zeT_`9I8AL)B(2c7doc9h2 z=EUyNoud~?8tJ7?IB7r(a8dULP|z=!O;_|!PD<{6Wx~x# zV#rE7W6P?cZ&gU*tla*&`V0;kPc>;_l2QgJ9aAyllz^`>h4C z^>6GCJwv7Jr2?~c7?3xLvMPT!bbvIs$;h#^lj@4KF{u+*uG5LM+NPC~AV|9nS0Tc( z+$uDV^&2KpOPIf64>vL-paX?0q%O|&C#RZ%4+CHOp#kkad&83GopVli={%LDEfoiO zBI@EpYG*rAeUzKO&=itq-*+IdMNrQnt2Mz)$!0HY&b(%NjnTM^Ep{iHkyyli5BMD2 za@h`t_=fC1tg~^PBs0dM%DQo#$Yq3rxCEMjIDb*=SI6kpdo!OXSVdedb>Zqf#08oI zEK?i2A$^7ROC-^ zo}@KIhlp`i>$1bm*ZlwdpnKeqD>@Mlc`nl*TcoYqsgx^1dcJXI>_$P@QkQWK;KL0_ zkP~SYK8HK1YJPTZq4S7Q60ZGqV~xmqI%PU>IQyh~jrQ?L+JUBuXi$P%w_T!LTvk|w zq{Hr%NWZq-6T{2c8qLiYU`oHiFUn_(*8QkUU)D8O4z>=^`a4?(x#8}0{y9CeLpgoY zjGki8Z-RdfoFu|ZRkA>}hvd8C9P0gAzU3+U<6|#63%aW3M@?Ti;)j!W(@~mJa!H<9zY9|89Z&^LZvc zI<8CsLyoz&Pu0IvT?`Vl%nhIMl^^71B`7$NOxt+_)f*ozW%#YmaDVQVWthQ#rr+v* zI$WZc^*8<$C3igMC;pq+ufsJY7rZ}x9h*rOuc{I{3M%1RWiu1R zAYbI>=IObC$o0*rwaqBOIW7*E4JX$z;Qx78v6(nHkGCJ=KOC)20#7ZAk9AE?(n|3tC4zuCmJefv&vVXse|$e? zdZxSTuIjt1y65Vdk(Yvk#`pmF;o}F!0vVaXV3XCM4<9}}gAWAoA+IPWDI%oIs4gST z7pY*?{S_l{1B6M!3WAr=TL7AF7F?yU>?%-f>h)K!KWSPBBG!%N1o zBe#oEa~C0&)U~-T>K+GqF28w+_VMlsSmWIZ^V6y$5yPu(Xrzs3PaRm0Qk5$$9l65> zWQJSvtP|pFye%6}U2v9^2Sv+1uAoLC8*cRdx005k^~$J&L-9xyB_j86dcSX8LXX zjM4;OibM)FukgoFtz+spGpOth8&lNV7j?I@{S`!bWRiyTp3TO*6`xFQ7YoivYB=P9 z6H~^$bX;ScM5H}LGDN9Fhe+sf_!=7QBm-rfoYgMGoNmrNMpGP2O6rHNJ%wL`95$vi zJ`}ID!M`o_q>qxWl6I3uiU@|?(oZ*t8^tq{#VW6um&cZ`;PM8W6w8CAEE^G-`#nfDw zlnL<|F_+20!)>Ne0DdW>tIf(^G0H$QFjAod&w3YnybuXWcLH>tNeDxrwx_I_FqI2s~lUN z@B)*UMj@`!kL}&aY_G!W+2_{`-13JpzcRLaQoC-o4UsWDORLNfTud_XY3_xMCa_P3 zg}GEui~4ox7vqy1vKLt*ysPxp@`sBIC)n=y{B)A(%tG5H7uCQj)oFD1f&IGt?f6(1 zM4+;3#h!K_LeD&d4c;j4EQ7IwDkip5tJu`Ue9R3E{E4O%eXoUnno1K(mjWpI;WXP&|M7aZhyn zcoFsaUs8@i!SdxuVB}eRJ)YpMAJL4?Vi|&)B>DqY%!PcpSkf?MOs&NJ1txOsE_$?E zp;IT^F}#r{vOruv;A`)>>dE<*&Gk*i1>xK4&iPiu2GM$hkNX4m2awZr2NDjE4(PMW zEZ+Kv)NeQ=w&0t$_|oS%YQp{<;~j2u0+A83oZnSwH5-Z5ZmPbSM(>wThXFfZ z(-HUjC~{s0Ebu?Xk6ugUe@@~>p}01xE#4HN9Tp9wR#af7O(Vrr$?0ZLRA8ZPCB<~k z>1I-_GEjC3Rc4hA>|VRVl19OGC+A(u zyXSGmxOdaMw(4d|t@uF9Qdg)Gekwt$_&~@KNvKm=3W01)Buh;X!?eAPi<<~Hqx1}! z;T>ya`$Zndl~SdTAhLApkJx^Q+h3cF1kkO0w)QIA@COhz0{XTW>~@t*sW5`&I&e^n zCLIHFb}73##`ud2_YlJxg!>MAG;Qw?|L=?jeRi#QEBxVu@Gpq}ozaAqWY4@2ytKuf zA4*R-t8c#fV!%N*eT0&&PI$%F}emB9zig&s6$BMRHAslUgx5-D7}EzB7a{#)qtcs)(CEY zc{)$|{=1BIN6OsG(lD@Mu)4{|kKhCna3ZJ^X`yrC=j+^6skA(jSj%W|YQ)iC{W=;& z^ptbJ{MPC9n)%4=Bd94_ODm zdct_L+cwQOxfB%^LZCGo|Y8n|8J+3@I+>Nnj+3Ay*X7ZdI z$9qN4fyExzV`2!<9qG;FhjN3L$-#4x(_p}yT!Av7*xu&*k8zXu3lfU&Dzg3ct92r| z<$4qI6-+FLdKg_zpTBoF)}iKRP9%sa`5(>7dr=>>L|?bYdh}{dxQYY1v zQ2G(zB|4p~Y`dBK4*()t6bo+C2{Pvhpi3Z=XS2(y_}Q)}4faN;7fU|6WYNP&fT~#^kjy@Zk*5QS z<27w*(ZkG=`iy`k3hwc6GehExjN&#g&~rt81ClQFOT*#9qYnIj1Fc zkp~({G2#xKvXz}N6gQo!b_@kO$=akD&LNy?G-KkT9y<~ZPtmu|C?i=LA^KG9+HD6VecqB?*1iuAjGh&4O&tZo0< zcE<{F*Ha3)Ph`de5A(gcg)=LMzacFR&q%_1RQvlNQ91yxpOs9;IeB5_cm@DJWRlp$ z^fnK<+(jq<~r{O+tnj6z2|gX&8FO-XKNb9v?SQ(K^TN;cp_ zX=C~;N5|qBGz{joSWyt1!eNvfH7jNKjtx9$vw1@uooP(a)isRtKoJBQddzIS3`*y5 z*GNpaYbmwvrLmokKeDdubV2vnw_KNh9Krg$=b|Mx2cVw2bp>r*Rj2E=Pn=ALP5l&M z;z|GFiZ%Qt>_OyL9M^9)V=EE@(>tbOmLEHdp=ebig$5#b_=m`PuTD+Pzk2);)H0sP z8zIr85hE_$A)qTS~4)&s6Cz7%G zIY_iOJEpjcepvAOFvYi8cYczUhz@kwPS^H8+i%b79bNTwGp`NF}y zb^QLBJ_&G7;fysjyB)n`$IRaUQ7$5$PHarP3b{|YrwliLG(N_Uw#Y(I0UqX#R$Zsh zKxRaiDc@#(A~`pBi+fk^6|# zv;a(aW3mPnA+WQjVrbM$XUCjY=>W%RW)-C#9Tv zXvNK)4h$ALv_Uct-xpz+hS^T0jn56v$sHx0=N3IuKR@Lj6~`3(a$N6o?_QU68OeWv zS3j+SU>kR`G~(S+NV#7KiB1LO8J2CYY~#h{uMKj}spc{D4}NNGz~`;OtW_bgHbBI;@ zp2hQGwI2e86yPx(NG8f6B;z7Ck>X(PLerp@N}G4RMp(+Y5uy5Y{?^Sj+`vECYa;^+ z6(l$)bGIbb_%$*TXl5*k{6 zs4A+oKk$LB19bnYYqn=Lexm;&`Kz=|qBPUVvE^B|$y%s;LdtdJNHCSs*z@BRrPj7) zKiX_8hgcChtEY8i0p9e5r%>z|Nv9~_rh8^A%g1rDEC<6bdQJZYCub&K^_*WwR>g~P z`L}JkV8G%4AdquzgS&dtz@?&WQl+iOBuj%VaGk(Hc^rT#GH>DlS>gr^0PKr+t>slc zij(4Y*!P|EMn(`SpaNS25~QyeecisT_2`i{jef01GG>x1nC`Ivdj5%{(hcPk^TNv9 z10v@e;!n+dizQ%%4MTsD@E53mghQ)R_Zr-M?3M2z?vY*2NH8YFiwW=!9P(l?VaFb_ zZ&o*O@o+fhCSzf*OIHFc;_M8j37jI|)&8-sd+gz3@DCgs>c-)#+p_S=7ne(uVO*Yx zr8^zRo7$eWwy-Xq-M)~@C*=Zq9MUR7=p~6>1<`1hd~>J?D_e{yjE>T1j~*n&`FNVK z2h1m%`W*A-HZl1%cVIk^c~_h^nMEun{S^~LvO--AgwXA;h|hUNpUe~sjmy(uU56`lQR8v&5m1jc#bVJr?2)JGH=tmrMl+joz7`DY{4 z0Bg=@xng$eQ2{?V3X|&NRQg`9J3@i`oQ(KSe7M+(Cv~vD7DC0?zF|bAp%cOOyZ!2` z`a~9&$)NAVnNfjH$j<6P-tHyL$@w7eAZ$kNzJ4ss%EsFZAsUJN!-6_lYizL`i?yf5 zg8J#=Aee~_3D#3``gL46(9E0^;ZN2`fDgGlleZWaR0F3{kxV}4v~BNT4z?fgD?qII=wr?e-KVRy~FAwBl~qF{|8|ws!d#L zd=Odvw+42YS61pBll9d6RL+LFOf%Qpf>o`vlfEU}kmYUZ+;TM}Rx=lCt*DlmF9PF# zC{Z0;YJACCb`bUH1pB1Ei?Fu(yrX7*V!ju|NU>Jh(WIg!7NzG?w1{_ICRJ+!L+>=> zWnWSsc@O#%e=U;id?TVkazOCHIF-QiA3F68_b-L4>YQ1*(TUeqQ!HlYW9)T*8av+_ zo&2L8_jXZPABnWKA^Jak=<;>`zaEr&c&dYWx^s!PL277K)Dq)qUtzp=UYjgupwrJv zU3tsb(h?i9^`Y1U)3zU0EjT`)R%i8pXsp>gwt@FP7|sz$i+Vm~dXTG@PKYYP@NKf{ zU#%Fww8~G#TeU{aw(rkoEnCIc7qh0qDwg!Rx9@*Gj>mZ^t~@{((fdeHx!26nEaheW zzL1eU1AH7kxpKU2b()GXqDK2tn1!C*DeoQvWp~T~T#wjF~=2sHgAs(NRcP znQc{+@Pr@pvi&nK_@YprS7|k|d7`#kS;zSsAbB+;7tSygr?P%wo@LM7F^J09Pl;od zxpG)`VO7Vl79L)Dg)(N6i#(*O-ulrtmu(TY4emF16{l|W;j6h-xy=#C(>8;0sh@@6 z$3{B$AEqFcInas@BY(*}Sctsq(lk4EdE7!$pZvzN5D3dx)A{^xICFEjK_}vxDarTz znFJiqT05yvip(1RcNX6|~n*d%0-pL;;)>TP1nVx%@v)l*~!Q zSPVzW-RFWuc7XLk9gcL@Rh(UicNDXPl<|r1czC6iklAI-*?r_eZ(b|Yo1L1(+DHFm z_W>K;Umlvzrq>l~ahbRsk?cq!VV?Pti{!IJLwz$vx#7&)N=8~#H=@m)T=<2XFS2K)s5Jj((Kq#cQ<|}*EQ})8H>8u!>Cp=oNwxM?wl9Q)#Nxkn&Tp|355Zw znt_tapCIdtp?|kR*1d#}>!t^*qo~dQ({8Wl+Np=X!?})k5d9XN5-e~5$W=JyIp~A z&>h{TT3&vM0=#;tu!(92PIwH+D$(7nuZ(9epwl!~eV#|R1@R@rxdUjlSxsSu`zZlg-B_R8t-O$tn?DU+8U=t}Ppnb^J27bSrGO z5|eoG5`J6ROijY_~i&f~O~ts1&!eN` zSSp2~w8|3kV|jlbyPRy4i5jTU(YS*rylYZQIJ$;XObJa5qU{o zu5P7$TEA*h%ho-TRmBX-vl6mI|Cl5a9#~2W$&I_pdRzT2HzMy-!K{%qjAyhW`IL6s5eWbdmHqM4=i*|SRHBk}H8i3Q@m=l5>~@jy;oS*0v|Rwj4;LI{(HiMZm4p;N%2ozsVeX zYrV0{!bLcpeOK|h1Zugvd33uhp58lffwpaxe2%pAegvKIGK%mwX|E<7dZ{aA(EQ{R zEes1Zft=r(>~B?XMfmB=$;-@y=@m<>4GZ+UkWqt*-Heky*z>R$Fb=--|c@Ig5?-1_sAn-bZ%iZdyaD4 zR7l7AnSzP@FO*O7_b7$Ju)ZvHOD$e#EHc=w(<~Qp)K9^vFwS_BQ2$7v`=g3i;Zy~$n%|qjFi%PWyQvo zw5blBCC;WGIAfbO+G*+kY8F@Z_Wka=MQ-PSiBX)Wp=CX5jhU-Y@h+>T0}t^wnq8WE zUiFmlx1o2ryd9iAe9uotnZT?Rz?1rAprPi4B}Pqy!+xFzIXw)0L{DLFS{k}f&>1H! zzw)(S%B7w=gvLT~14>Plxn*RH;X5ACa|`fO9y^Q!r4s4H%h9l^1JTOqBf)*hSV$jo z<>OS}b`O2!N9U?&|8CWp$^u`E(&vwUZ*a#lKv7bf2gCFke{D{NPgmnf99&Pp3 zA3vRO*e1gIBw;I&UKkGgw#T4LdxAY>>9763BYPaI9cV}24YN4HZKfMdb)9YWz*|9x z{-S7dU=CVtbh!sBDfE!Ylp$IK@~G*|lo^Ur8odKqVx}EV$Cw7c7ZliLK>(sW|;#b?KPD z>MiPc)<6<&-z9BWc^#(!d4$sMAFqlO@eol)|F-|5G+TkSi1Zhy3*X{D;NoQ)7QSbZ z!nI*#-;ePFvHl~eA6eT4A0Xed2%kG1ZtjaI-ey|Yb<9roUq}7{5dXYe+jT!x2Zdv2 zc9|vj8X8H~g|h9YD5Ssm&ANIHk`4ul(l-PQS#SMZ<}(f-0H9drqC=U@ORs?}Y7+v} z;+InEs7mbBIXAB1d;WZ=2uj2J7*ue3NB`qc=h-9S&z=9s9azBnWon@Yddk74UcxiG zj2~tbj^nuh(tS1IDh<6kek6mo#BN3ms3NMz<eia!(YErtsA4mlv1X0jhS)NZW8N9bRzSLN#l z<+T<52QBYp8uY2jdzD@+A__KXg$-=6!yfmu^MHYv7 z_STu?fA5G)r0G2{{ZGq_k8b|)G@OkN*pkuv;AkRAQn7rP2HRsZXgfU;HMf2g<8UT5 zwHI;`N~Tvi(Br-ozV!&7SzjIsj?a_w$A!0I08h45ND=(qsRm}_3rMP{nNz9Uc7oje z!g*d3Kbic?@lp-#WnhK6=$!W@-^eZ41H8m^2@>{z7>U@d489Ubfx97=vB2-%V7T-P z41*gW8@dwaKUy3MOa;e=)@5M0!>4|D_$reW=~%?SmL6I3^nT3sWmx{z!qNJvaaXha zy`Y~EQ%|xC-9DIjdI} z9vwR-4D;!|Cs`2RUJb}KBb?1V1Pd<7cgU`CiL(XLiwv)fjpP1g3s@DwDkk@;C|lpD z5v0V3D^6c_98cydX-M?EJ1n+vqDUY{+|~w9GV}59iXZVhNn>Zn067)qxkL_=WKA%# zlsqcv4Q$iV?u*fG=jnb_(EkA6+zx|pXnrUs5Nj4dE70Va6MPX-`IK`fi4#u|K2Kwy zobXk%Ab?q;TRk1EU-^^aog_*eMerQW59tKreRar*md~bhx})j_o>{$d)p^^9W`?<@ z0|;?(eM?u{PCn}lpDbT~uv37<)K%e9sgy8(ktKeKTnQKMv_XQr_aQ1h-1H5N#IJN+ z{Mlv(t94@qC{kWa;ay;(TbfDgeU${8*SfcBGF*NEGNrozay{usrq* z+0XwD7oJY_;Olkn9&Uoy3V*oB_D*_k0wk?QY0;n&IFq@9PUhY_%ZWU? zZQWNM_~HdFt1jGz^^Q7FUwwg}8s~w0t=J%_3)T0#YuA!@k0;M5flJ+6`B*zp%i9`LmlFqsP6 zkoW$-ji>)wNqhradI54ipvgVMtxLVbt%reaUBCTX{8$LDQ9sjFFKVI+j0B4n{-OL za{qKUnFJR=cauSI;p1+y2rj(cO;Y0-k@Qsa8nlkK4^31&g5nuhk(Bem4+6^pbZcnJ zUh(0^IWg+1Pwn61sGA@Vnx*y|zu6JThihQS5^)B;psHZKFe_@Y7a8!wJuaaYT>Mc# zIFCXe1ESQ9tprVrGfvNJFS|;dxhh}F#gM%7cY8{hmiE>ZKbkHPO?-skf@=-+ z?;NV z6M;AGZhS%>Xr*sTve`p@k_K(k#}cAUA9m4x^eUajX<&R4_jIM`)2%Gw*Df6s?dC|L zh^Ay8ARmsl=<@pggD%`#V(BwyL&A z96R4MqN?aqj_U;drvb@=+}YV(XL1}oo2c;o{G{UvVOaOIeo5-|smx5jpy%!I7=lb1 z=|s)fxi|G=h4PkKwsgGdd$_210>psjSBMgskqnBCON}#*MO=7jm(SXIbLJ~hzVn?n zq4jhm@^j6Qm<(L%wb%@yN?0r(a@^Rm12Ji~NCnA`*@RL$7+1m0u87>`-O-=0`Q#r;Rh_MGAXHd@4V+ zdibwWJ7F@->>pW$;)Z6V^oZt=UACs)#_Ad?HK*PTsi+Ug@Cr zxm8{NIE^#2!JDpBC|T`1o^08JDyu4vkVF=BwuZ}2P;8N*es1ys)QU(|Cg4-NpZlEV z-mSyS&O_HKn_-R+u`5yF=$-*zu_KM<6eOS{&rU?lp6j&8<&BL~Tss_-r)x`hY}*$p!oCLcDn!FuTRj z38c7mo$Z4pbFl#;;O$eN!L)p6jc|7D(U09>t{K5-mYj6x~X+2gY3tVYzz zapZQ(V|Y15hiDR8r*@A1BAcuL-Sn|?Q&XDbmfdhBXY19Y0I9?@-*a@QbSzxcJ8h>* zPL<6XwD-+L`pkmInmD6{eL8QqPz-tcxYRrPrauH+JomF)hVHqkWcsZ(!wGq?M0OQ&tOQoO=%BwFCWw}GY?G< z1d1F^76_^|EU&LCR@QW*qY1APci69z4#5taO)*B{L$9;<(~+9CnFM#OAy|F2m$gb$ z#A^s88`zyH;qi?#WLT&-JK|1;&+kmL{qI&M+wab<#N~J~Ta3N+t}gO31J`J_ zvr8EXi|Q7moB7W3HYz+Iomq31Pm8@yb9F%m?s(4$!8eNPw~YS)Dm7YwjSwGmp>9x7d|Vn3d$ZR(rY8oWB_m zJ_qtZWdR=a5;*-Iio^hn2YN;=#L!Ab443>zhT(`U!A11_O_kV>TD`#?I7&2MQA}GW z8hQtSPNF-*F$vYONU{+Jy<~cu`a$@s_~R{h0aw(b3+m8{s&2_E2p%b=$RhC^U+=5G z<`pZhUDkr&`>7Fb8eT?DHf_kJVerWVaLpx5LYX?y&NwUdE;Cy@abkVDdv)YaL(XU#4Aiu!by&_iqb^>g=0PG5= zv!+}P!$ZEM&OQs!X#}GDaUA$aHIZZWyRmZ} z$p56Ee$#iLh^Tn!wes#x*aCR$DB}J8A;e7Nq745M$!}sBnctCr<(lP`=liIC)LVco z4%x5v!y6Qg-~kpCC&=WW&~+`Y%IAn>-;!uJB&Qso%_yAQ&8J1Bk-|5pFb=W*Kot`3 z=Lj9Y+_b9Z77gg#kq;3ui+9~5sPRUXY20|nBA|TV)|m3NCVC{jT)v1+i1NPjG(ly# z^d(aU_VV(B@ZpOHF5P&ce4PUR+OuOPs)JG^K_0}r%fXv+2YW9K@>?Ln=7)eF&%pFH z;lkQPRM+8wSlOJD#eZoD>oWkkKIWx=M|=hW-i_o(-v|;FKEn6zeEFCg7Z`I(_(F9M zs=5x|`aZ=*egm1zjvwWIjQRnefhV+wb|P@yAoCR{4Z3305i4e zX7~s-G|cvuwCmKRQ}x$auy=$&>C-3}HIk_K3J>NvSmFNv59~7)?_~{^1Gc)u4I`QP@(wyL_L$iQZb?`@@)Py zoW=&^ZDKDv9;kCj+JU^pwzL1SaEM(Q=h~k1j|H`aoa2$1w7s91mjnksiLiOxoZ&(k zvIFC*pz+TcXQK&HEZvr`+icV0#IP=RbbXKEWJBlT z9#Jld3rKCyir66dYs!}Hy}!&fJ!Or}M*U@myoKzDuO3;Kry5s#r2DVyPj zxh|bMSBTKl7TTmoe327`QXyAg4rm9=wAYQ*IDxpCR~WdNS$g_j{pA=tERlb4@ETa) z-i^X6m`wNTf-p04l5P&DPfs};AMEW5d{;9=Cltt@?4>XEo6mlWc;t9pFWdG`pVqxr zZGIamXF0dx9MPvSPX!ymu(#t02{AuLA!QKKL6$;O_aW5X@X7k0cdyKl^ zJ8y>XckYN~^0tBYfu?M+3b_X!*== z3Pu2_q5h7|OR7!i(gteIzbPkPppcsTu=tSRs(99^GH9eP+b`k z>>D8j0wcjl+ktvitGMQaBlC)IDQ)kXKhv$%`;4Y#(PEFzjiom&>y_3 zJF=K(W0+)T7ZC_vi}ltHKV|y#dGc-967U@^t*_mSwFKOGEb&`hpg_IUy~)HGMn2uv zbpts6%MBq#+dvieLFl^2CHlh9Tbs#^uEEB7bChAU-+_10NufXvZ>?odjPd*5fV1Xm zS5mKFI*q?aVy@I1V*sPMuhe1q{X5&Sdi=g6JR2?dOY(FqcQ^+j!x(IE0#iJ1FmTBP zTBX8$H`G2k;t*cF`l`<4i4?XLu?!< zIM>i74zXBJ+-<~4OAfZS?K02WHIdH?ULT^(PUg^CB+jzbOJ{0tqv;t0{Er`2Gq1^B zG}lhm7_sX{0z2AMno;~$NK5UuJa*qlulQfgF#e9=>N}F<1T}J5yB+x$1O=FoRhJ?C^neHS=mYt4{DZ+goUzbztXO3_QQKUWi=$xkqf8JVp?k z#+Uz>7FPcqO)L-r^I=D!K!jC2h$e6rsgivx6CCXt@DdkONUj_7{hnzh_!su>Gj35g z1y_V}B%Z-O5S|=9VPN~@5f9|vJD{~gpji*7?P-grcjbBB)8wB{bP=u5%)971A_xBY z!)E#h&g~&ZaKwVb9Yw6z7#|z0H-dG#L=<|g43S0Jv{%l*S9Bg;W(Z--|7ax zBy@|g*y6gz2=*j){UNsf>W#noV(0V2!`(aRr<)dV-}YZtrc{ta?O!u}eynm2(3tD^ z3&f=wW&8ttYL-T)d1AhiX#8UH_JrO0@|w-`cUiaQy%PB+T09*7Lkw#N2;!=q@JS0i zsrbKnUcSkN*|)wUVEFmXvpuq)h*L?C9PzcQjbx(T;Ek({+t#l$tskTbjM;+y>=`Wx zY}2~=nY3Z||4++QYPwV|Db;Jcg4%1t9JKa1Rwc&FF*u z2e0UVgFT1ft+Sx_^_OG(4IZPdN2cQ&YsVIN7^ax?FWQ|t{$matE1*t3y>Bl(>2gc< zL{AK5ynbjH2TJF-j{!o4;)J?CQi~)I!-VT!Ymhq3}gf}vRILhNJ2wPY>PUW7^6H|8nt!-TaZbyGQsORh6B3wnpgw9cw&%^lc6 z2&!qdrRqY`t7&aPp+cm#(2KJe%lIZ7gDqnR%k{0lbx4-`@0%V7j?VQ^Og>s8RWdD0 z+83YdTGq06xm)>5!6kFUJ4r539x8Bz)#a2-ExXN(6{(~~i>=YmmzRrL?Z|Kxr~Y5-i!Vt?Gn|jQA$t~G2fqRBU`rYh62X`#>WWdk>W`4&$+xuP_})Ho6wDDnw6S*~v&&9dZU4f2p-!4AE6QHf z^Rufs=V$pUnmIm{9AZQ-OB49bpSlH{8OMp;9NKs>G9~!kE-Zpl;F_lkUQ;q8(mXC_ znYMdm%Qm8nWwSClwk}IwQFr4RzRbb0wGR(c$ron(*`?O%d{+m#^$nBBF*cu`>@ex8 zYMNv2bFA2_MSYLiqs5dB>Dao6M^BIfaFpob;pqhgbuS6)deeRQR>?xvRDN#md3l;# zHWZXOvY494TrWx}?#SX<<_Z<|+*H!wPtbDQX0oQb!WsnEr@)wug5bi%{Xx2*dTJLo z`%|@k!;tyNL5E@wb!>QzU8gbn<9(tf0ckH;i3X>6HJ9DMf|bSe(&MBh)-gNRr-on^ zD*GC)d6{u+V)T{+F_9RgTG)9JLkO(;!vKU|xXr``2-S9p5(m4~u`_|3I>CWFGTc-_ zF=>pWN8R+R2Gd+zk-JWSwDO3+6>tMwY+8BxOkIs#Dp*52cE;JR83_w1)kzkKf=h?0 z;KX<76+s@2Ba^Qp{~;Z|N~v7K=sLWC!RuQEC~v!S98<5rw?co~xN zQ@G|)q6{WENQfD$+SuzcJIIO!A&cRrR4`#YE$xp3w+%wG`k-PU=b*Y!zswH~1Px10 zryY*8!A6SBV`L3(l!Ve>ky?=DZ_#~dl)_ej65l&VAE@Zc2GM=mR69L5Tw~N@b z7UC-8fjWp!2oe(*4HMyK?6G}SA+OTj+vc=TU$#%*I2UoRyq~W4e*XX|{-{kJwd1DB z6{s<5Cg+14g;>TJxwFQni?~Rlwkfb*L46JP7otys-Z>v@_>b3am86W z^782p#xfhFls%_bMYImjd-jB#2eAIerJYe&boW$(WHe?d4rZA%hnX|ytET;QHU8C6 zC!L?(YoSHx~^h%Wuee1-`Wi79a=lVt0*}}@QGU}ZOA>iq~r_Gyg&`LJy60ll; zFQ~y1j9In*Hr74je|cc_dyu5x*#70dd-k5TLRfeWdqM=xBUkNrt3d+uL||HGnW%8n zxI#R2K6>@AztId<@+@UI>fvDW5WZ6dRL|REw1uk20|q5kxONq%21``&wHYhFQd$O0 zg*WWh!A8E1tJe3qssNkvpmgs%a~y3azZ{HDOIYK&^sV7K^tf|b1N$d}(oY7wc>zA+&f6?Jv-ephl``=ZNO|K_Oo<)uP(-a5 z*2aRt=+Ip@{YPV^)${pvcAi zpi_)kq~SO2E7{`e3o3I9@1rRn3+?%uyuDn;$=sj6h(xD~I7L&hRIkVX-sjk0o#MqA zkuR|@Oqxu23N0Uzod1N;Luc)R%*>rq0<2xQMYdGCe?u)lCL#%Xo5FHABm(?%;RL>b zD0rJXn-yqIdloBDdOs{YCdw-QM--p}%4sVGIw{{pjTgU7smuv96FiHh3cZ`V9upDB zf^uexfKFU@Q8qzus7Rc*QFfC>KUHBL&A#t+rAdjNYarBWvzB14ov z!K*v3UECq?r9nkM@Ul$hM2VIfExv}HZG)`=@JR=Nl%^`9ijjcAsIX4Tc}!r?$2%$0I8{Z%jka@e9)tzl%Y@sx{4w zuH$-;CQ`B*zrpk=$#~)viQkttK2&M$24ke881RE8cIt;)0t%}rr0w~kOT~L6nLDIj<2-Ea8msGm1?qAL zXZLui0jaMs?HLK}+oS7M$)AjJIW@AGUNEuy5Jg3~hBAgEj0|CCwyyYCSe}X$DO4`R z<)kj8eXJLR?Js`!4L0ZXil%l^Ks_ZxW)>_{4UE@1t$n|vZ;8d288aqTt1_tOH2G~; zBUNNBja7d^J!c^B(Esb_2`FB>bbpk)Tk5OoupAC=ETd*J>%4yA9tBbhwS@g-^rUbh z8*8>OA>wJ|$FBN_k6D57ypQ;viDlEis-x9QvC_OR2MeW=yuEnaiDLxO5f{IDZ)F+k zH}%Qa(i-*0Py9(0*1jm#Og;)or9BIYo-I+xo$W{JG(&f-Q;Cwc7EI=~n5GuVx73a9 ztXc%?I!$N07zL0L@uwsJq8%~jV^g@ zVieoddULp-JVkNnI6lKIl{dr}ydNl9ND5v%C2NK~d@;#fW)$?{9b>m4H{90tU6w@> zbp>IvKX-N4t-Ssm?+I>}WT-f*0P0@Dt6mw3CaYWu%B@ASRQ8FjRU3cnv^eSX3iJhq znK^{GB}@Z-J@Iv{IqX~Jat&vK64%HqU6rg(=62Ky>d$vCW|m5hhPh7-u4{{61gu7D zAhh^j*sr(7b}6)P-qKc%jG$g0Oy6F(-d^b5@D8u5(qA9QUR_`6_)RgqPX}iB?>obz z+Ol?yTFM`)YY zNmaAeZ=s}xUoVAwDXziaOCP!g!mp8SRT9E+DjyPtq#tsUW(z)ubIx=|D+Zp&a9+zi zM`wAGYXjx!;=v{CIL=OSbo5@nwB4+72UljJq>jjyEA*It%<{U|1uuaU^CbCFcQo45MFJ5PBQo77;UEk{-E$hE=OIZGr z)rZd7XF0QM=c8J0KKG~qg%b*L&-TyZ^83us?A;x_6>!w~4P9kW@s?nE5w`8OgjJ~0 z<;3Nx4idqg{h2py(G*BTg`#n_R4G;_N&m8I3GlqF-r4hS={S=q)34r%9Qdo)%>OR; zUqwWM-w369E_H97n&A;^MVQ6VbePjI(&h8_E9|e?)?OgI^;vkzC;`wz#vDAqtKRM5 zcFElEA$bv|k;Ea4rH+Bx$&8R;^Nwtpd|vZ74haF(uI1Tc)rtDk&-anPs!(b~*QKhL z8qPfjSbAluqEr%PyDInDK@w$VOLHDmf0DYImFScu${9_>FSN?%$IBb+tzPdZJe25? zcpoPV{`zYJn(yjUD~X?yP^3fZ-LYev^{Ce=t5_$-qF618&;OGa4}V0@tY)QlGZ2|j z0QAcIOHvB<`i@4~rsHcou$>6oRZqzPMTsagv85QzXqBHoM=`Fo6F*lT4>Uko3|%vr(nyF3-*fu;p-=a7HTlyES!{C)=Xa0CWUGCk5q`*c~_-&)!8 zQ{0Xutmz*=aLu7-kGQ-n%4r`ZYLoEYh(DFPg%rsjHGteU2oSRME;WcjeskN03)^0j zhu9psG@uNW=%`usqBI&&JJ?SC`yZBL@F*(|$9 zeeeU%KfhTruO9Sx5q;~T$49o^+xb;44d5jTqCwt zr78H(HPelX-1Wmgr32*;v?7UD<2nYA*fHFZ2-jJQs87&7i3mb+I!X8uQlK^D&8=kg zkt&C~8(PucF0qLa@;7z4;NyMf6T~wV_dwG2n@n?`3jV&>PqbvZ0OW@HO=ROx?Dbt0 z8x}QsjG!mfKe;NxA(vmkZ}i^2%Zc>6>h9GS$zFKGs*^y&^$qEe+@kyu)`j0{IT@B9 zV&7MOe~cV!?fd`gIP++>wmpuUHD*dDS0f^*xrQ_vMa`tukf5dJt5>DBriiDMmJl6` z71U7FjaFJikXK_7vzir^nra?up2yzkeRtirtoP1d`<#8&{(jC{d!O^$d!0XiUk#^& za;=F}&(XsUxb*w|^(wfO;|4Wg-FE0>ZLrvC$q-((bR!_>2E4_s95AgqSB__-$(&Tp zGO&M`YZpZPdcA#q*@?*aE7ef{IzSr1jxPp$l(( z3P8a-K47Nh;EX>`QkrAGm~91y^V%t0_^6L=v5PATS;{POgp%!-|iOz5l4>}-SY3}OOnUq zs;BX8jf~am?sVQ@Wj0Q+5Hnq09{8P{Lc(2+`uI(7iO&2Ytdnm$Rbb)f*)+)o$K9;r zHHmUuP&IW8HSFi6&YGU*HNgTjxR~UlYH+*7lK_LxfK!Lhy$)j+iSv7CjSy7R_j+=Z zrp!^#iNov2NahkoE11n9N529MuPlAosu{f>Z@s)slO#EQcP1z9p<+FWt-Z?Y!4wu0UaE?>1BE0{w>`|o*z z_TeGDT|e9c37SP`)K;N-s9VO`Pp-F!+#jXr-x|!NeL;Me251*rr)vAIM2=nh5Z|M8 zh}-ELc2Z^gR6Y_%LXuaz91gOKEQiZ>G2En@W-A2X|r?7+ZAPe)DX;>zorVLo_OwQM52KkZeA}wbv zUGAJnKtgC!-YEH|z}VHtTkr1;Dum6-Cc=iwTYK~=Ap;5dQ1zhj5$Dbbn@i2A``k18 zP41BE2?0)<^RTq42K0*SX3kh)C5>3S4+gotjEY@}iSi775JMvxEqbVTyyy=a)81A} zOz8E!*T&1MW3GRCd_U?_4ooJJ>uw+Qkj|$f)94=%?!Vh^|3R;x)8XT*n5V218fFR{ z$7_GvZ^;LBx#0D|_0&+VSv!~q2tBnZ*u)*rMNxTJgQy=kIR!INrYk`ebX?APOS4*@ z7d8rmBC6&>LeDE4j8|^S$CIi8<{kc3v>sA(;kVh|>q%PWB<(H3Z)NpqB*NS#FkM-% z08cV9zQXo9K#3n)vTAa0e z*2Zl(Qkm{!3YyOXnTB$IcN~}Ng9q84$&U9JLog2m9f3nDZ^E@l}LDs~p8 z>id1;zMrs@52tI3o+mQ(wsAVDTTwSL0)oCRBx3Ydz!=yUNvXrPNk$J#eo!b;)EdJ4 z6iz8=$;>)iKUsF_Jsq6EbQ6-5zm{i{=bQ;Ev9;~ddlc7K1)&k3kFiwxWSJgD`VvbSSZg#B#4cA(Q0qNrJX-zI-diRhF75A* zp_lTE4#RQ&K+;v_8QbJ{*-zOW%XSk9qZzPLIXq*R!9Fh4j~Ck)+?`1;(x!Qz zE#U)5bItoSMBMK!9YX%t42Recu|*>BS`8yjb4&6+_T+ai<%^u}I2CPj%5Bn@pFABo zcXqvrg&0d4 zs(6``GM*yi04TN_hIoV6WAK^`=m;W_l4(Iz+I{CMH)FL%=k(p zd2*)tHjg`_EG<2*VAwn{2{NiMqoVXIOps`l64Khj;;P9tl%oDsbPt#J`Z{2$+k180 zXJy@oe~N_(iC|_q0{)*#7UM}w%txo6$A4L79S4tlO&#g~0#_NWzn!O!Q^);W{-NsF k|3fj{U5-=7$NCR7Z2G@Pjzq9?9I4nCWtwpXBaZI=1k{IrxBvhE literal 19391 zcmZU4b9|j$vv!*_PGj3fW81cE+qTu%wvEQNjmEaEZ@15L-uIl}_s5MjGi&A=thu$f ztOW2kq%S~Uz`w+OX_jO-ogDA|^5x4jV1oi|vhp(GLIR33s#20#lY9}qKj1*N+PVnt z;^LW=EVzggr=^XOl*bGmr|MAP89~~pUM{1?cJyp6xwuni%3tpZyj$07FFImJ1jS~a zt3O;HNC{muqmt#JH^F>3HQhJSpN~L)jf%7uo`WG}!~_~!cGp^|^T`G{>E;!x3+DA= zJn-fc--Ay5X+3W~8$B#0}aNY*+;R#Qu$sZ&TA>3N_j z-{EeKIm1kRtC;A7u0sgv67aeymd)!=Gg1@uvMoPQQy#usmRL9pGpA_Cb5?aflsG4Q z_DZo?cA1_(5K6HhZKeM)>Yn%`sBxQO2v zG*xb9U>x6p!gCy`E~mHejMurumagrm76sNgj$sU>-%7}tLQlEEViQDPFua-_K@EI= z&mcYxGtG6)b!beKOgHUT`;D7mYE-HdX~V{WtSk7hOd!yq%HJ@c^R<-uVuEb0tXV7$$zACBN`CPD3PBeRVoP{Uat4zoN;XhFHA+R-R@byZRQ>@C_P#Y}M^xg-VU!Rk~x7j7uabqc#N zYRH>T3uY#wNSZ0*XJK?y$eW~BS1{EQNJnr=p7|cc%;+eQw?VJ2a#R#-Eitbnj0tIF zeiSX(Hg;6R-^}QUdW#txAn+pi^J_PqCgZ&gUT5XD2f`tVr^Ge~MklSEUT-sUXZbc5 zf{Wx+bnheMo9s>%#HP|yXE3hVZ-#9TNS^5{gRoZ;Po3=$3~z$#DhM9gr`X`^QHgnt zPQegJuElAFb~lHVEQzyNeHq3F&z`FBc!Pl~^RoeetyD77aIU%KF_1QT1!-iDs@f@( zvr$Dhg|nbRZk-xRhkec3WQnVxGvE7UQaP^|$_KlEC4DZc)(*ed`NcdUr!ybj!y&V*$asQZ zQ2}J=#8TGU)J`c6M zZhCk5n10@T(BgrbF}J{=;p)79SDMFNA8|+!F<^>}=t-;qRYQu|5rdoDsf8+zUYldz zt=Ah>3tE9qwwCED=)uI>IO+upYj7Q%b({wOqVYdcR4Ie6jR59Yv-Sg-evUOXet7 z{;Wo^{btGCQYq&Tindcl9E@V`OCOo{g9e9YGXI^dW`1|NZZOfkp#=i`2~p- zyrn>$RkKtb{>p3;?DRrA^%J+Gw3yXk(i;OIqlh zTc}}!s~2|Y@y!7Za=QuazQA_6ZEI+cJOSTcefCfFz+Rf`Hhv1`9jnT8p-A7k47r2K zYr=(jQzMK9wh-|v{Mx4D;H*mzafw83xOfxPBWY#-@EFp9TJft#sR3=WMKAmD>@*1T z+dW_8RO3W$JB!O>*$)`Z6$laklRW8K{#uy_C$TDV7I{K7>tQb*?AV42_CvtOH1bCI zDf|w&U$D5Gj4&&rf?lgPR@rc2?%t<-+fCgL^h0PzC&SN24o-<$XQtJg0-IiMVU9d; zNRI7^=cj|*z6URaY+`(^l#3-osl{RqlSMf63#w3!HSqMMq}8`$lN#b}K^^)T-18~d z$vjS*L$bH)WrN3AoAtF7f5VC{#)SZ&-Q;2#NfLRI9~ug2?IpAc>X=dx{!$RaNXGsz zvaAenqePNKLVU#DY$I{1K13)qh2;4B+yNtsd6edphrDKd`t@*B}VQl2`Yq2Bjq^ zVhIqNR0%*L@L4SQ!-_Jj#}7Ps!DGV-N6R^Jf8P64u3kclIyh*A3Ca=`AnUGBuIs^2WO-RG`g+B z5e-hs^bdn;#UIgveWj+jmvWzv)!Gi%mu@HgBdy=}{VOXytdKD)A{)3RzwEMH*Q5?o zHR+Nq88nA&V&t3?{=S+AHL`~mk`KL?AV1?Fk>_QT{jOLHN~X)ipU9ZR3psx@)BhnI z&Bj&t@T8@v!lwPLgf>Zf=*8o%<^0WsfrwQjzJDsW&S(=*97fzi!3oDxwSf>%Pog_p|s`;AhE?C9fyf*dOXmsHC@-yu%9@qt%UAw6j08o8Td_*I9@|;$UcpseBWnQ z5OG?S9X-Buy^YOF)$W`spN*LD<)h`u#Bre?MGtch0o_4QVA3_muXMkkDy8S!U5-Pj zbt};myQeyW&HB`@Z4U^iC| zR=DS9u{H$`R4l8~OnYcM&njYcbo6B`1kR};A-yIx>_kheXBBdP6 ztt*P_)6|Sy-0h%4!vZ6%xfIE)d?haZeZj6w<_)0=sXc(@W5sz+e9&Rx6UP?<8bp-Jx5Rn zXkIY6JB1jdP?pNcnYrrcKzFK;dxqeGFz0v)bCO<-mRCISvh%NF`d2)NlHcDFk&NS` z-@Q+3iLniK45>3gMTg2^rjD_J;x89)MKGl=%L zr5VpJ^IM;3bq`W24H_rM1%Z~0*D^4#gL$Q+r_ieAjjZ#c2uj_tU@T@}vcFew9n4}x z#ueg8XS8Cv>E|8nV2xQ_8?ju;=ma|Ou;h(mNL9s^`jt@h>_o6<>|Kgh{#5j|T42UZ zuiU6~d`o8!bSVXfqOySUYH*!^Tq~;zb$>79R@(KN%;F4&$y$YTY93)4GO&=bgh0OQ z{GPd>x^GeUp(HZ}^ei)a-xS1bchAx(imQRq!dp8Zyt%@nKhH34=xMK>EYKnK>pitf zo?_GLvVhqB0>4W$V!lmTa5OV40 zbRtTLJMA=kVP#w6Q&utm>v_m`Vyl^|NRqplufpCQ`)Bq&&RO@mmB%8RiC@X)EN8xra>f*Q-Nv z;gl&&P7n(@kM5BvUw^=@4M(`12gM%gQeky;ZmZWClk@y@R8SnP(O>QBLE4-`LddWC_DI5NW&y*6~dnL11E#zbvws1hNZFR^kj zZW0dH#5dK`;YfrK>A6@_X^5px2GVEH#HD9pVQy3w29q|~mNlLdVx?Y#cKA&SGgb-P z)YR9~vM?uM78M(FMoI1;ht-b+a$qw2x~@Q(l#xUYCWVaJxNs#%xF&g}6+pfUG6VNH z%44D+WNAwY$A*M@KH7&0rF!Gud}91$K!>dUelN)J3Wmq!j1h$ohIk#x9d5Z>eZ(P^ zKb*zpimpQ}@+^|e1A8z8ITt-ncAyhdWvy%B{Y(%fU8B0`_bdeNaK@;U; zfF>fcq^qriTn5N1jlWWrf!Fv!P5^`%|Wh2PI85A7H!>#05TKhw_o_U1HhDWl=zvFIy$B#<_nyiIhBf$C0yWnGn|;pdhBt-&i+?WHPt1}oPYO*LMap%of|tK7V+iMg#()pHkrLy zEw`ZtRD&um8SZ}=V;}pDqo_vCX|$uQ_d`?2D@h8=dxM)Cy#zeEa>m7~duty%m*E-Buo3>N2WtJS>p&^mY5syI!mo8*Q$?{OSKuXxHV+;3RE{i){7bIN2($*UM?WV2gAiG?29uSVaP<~ zx$!Eje?Uz5eI!OZ?Qz@!{TmcWHVc=o$^Wgv`eOkT`_yzL6E)6y@%Qwes)&rHeeN>m zO+M7$SQLG{kpMv6UrPPgkJeM5A$wu(ZUCyI7+UnC*l%YBxl-89;SnOyg#m~tIa-D>Ka^Y#{7V*C$Y1!Hyz&~Yna|C;s&;2^~ z9#55cU_c?1bD1{tSkCZva{L0yf*4?%Q2RrQlI3MNz6cS@h?sjH++ck{Jkm>P`8H^u zHV|c5e*t|R1gGh6ZEEhA$MeAHnaypnJx+#4!Bc-k4A5QkqL=T#;iy77`>!Kv3aVNn zIfR3N-uPMK7FlOq$pzRRD zO&9YKFYh>XJ)a-Lf%syt{^Pn+G<^{Ok z3euc>4Jko#;6=YbkB%)7a|+pB#iVikVx(e!5HI~Tgtflk(Am7@XZkXK!C+O6akb3F zt{Ph=c4}wN%&E$h#?Up|k>y#|sCFYE2VGq{GfdDe2MOdd(LCa)V0>6hkoP`o5j^h8P>}TQW)AS z)^^vOtDUgE2*3a*voGReon_v9*Mj@kQ_HdHrW~dp_JBdeP@cEQ_V`W`q6y03j;wzF ziLCy9$`2H9i&)boUYQs)L~nh377LuBO|ItIy`A|HzJ8-@*VYo|7VhVLmgyccC{8`YN>G70&lJgG+t;iY9E25P>XlpdFoR>>-;h zmlluPt_1B(9LQQrD`+@lF_ufr<%O=)EV=jEb4OA4<%8Jag7Pztt5d~-`S}Vf$7biF z>p>L-M2xWkBFssw?0 zd&1r6k(EOHM2vW{GDf5KiX5K9gl)*^(N17R2r=ldJl>C3PZe?vIafF1kIya7rY`0O z?Ge?iTpjQ^l=wDRBjsTY5%PSh*J9UW7mA>sOV3J}sRLs(7lWZVtzFA%3}*Xv1sK<} zd9CtJLGw6`^J~P+!e*J{g=R-$A&XZwE@U~e@7)Sh7Vk}ROzb@c4lO)T_E|0(qQu0%tlH*@pJB?QQz{OTSila%7ueF|=9# z=hzi%&9nm!66shTAfB5OTQw>hw_#aOt|uer9uXV;p%lAeUXTx~RL~seh^0Sgf_QM} zOsF;AMb*(Kih55g@%Rc2gzsw))Ww&hX`O)Z4KVVz_s`+OGnAE{wuA%6Pe8OK*ROflLGBxHnJUi-j`B8qFP<;nZuZ}yIZ$SN6k#9m9k2gl}V-= zm2?IZ+VYLcL_5rp6(#1@2XTZ*d?hL5c?xCDT_Zmwvyg#yfl!ZJ*NjS- z_+>BSN<(T$?m9Q_b2iXtJ=IWaY=eKCGq03&GW^}R~#3#JiDd zzJO`1jHNJ@x9>oV0u}c-5FpqnH(vp1qn-UUpTMh`224-sSpbW|`L zfVdIRvO7=Rp3K))D>z3lR^MBIIioj+pGHA|2pxe}@H)c1JKazAeBo=4?tu)PwyQ-3 z2XcT`#tV#&sN_B31`rD#zHoq+Q?g+NAcOP#q5fd`k0>qZkS+-SQOh#qH_-nQiT^`X z*h8z}J<&Qtv-HMS_gj$!mDO?#oQ7BRgWFLkH2A^$L*##EiN3P~rThI=|6f|=CL2&X zb{H#76HuuGQgrvf01t-g02nD-h*$(RW(bDK)>E%6_{^$Zt+;lWKnR8~7r+ zApU7shZVd}zNf4vAg#MqL6Z*3cvxBXRVEPpmw)!^bItv3laPT{Jd^iSd<_}dRSujp z%Gp3y0hmsNPn_1Hu7eQkbwX39N-f(}CJ{%JB9orc{37E>M}3!Y`0Xx!rI6TklwdC3 zVy3$xXolg~^^15prd+dnH-6vtD+WcUBnC{eUvVm-?h*~rE9Bf~!)=e6eDX>v9fhi~ zJv~1`DXx3zxcB@TM=F`16s}nA`c_2Y*k6J57J%C>y0DJyF#=#6E$G1EgIeg80Su4! z=q$g9l+j$kyHGKJxYMW?z-6cR{9}pdrj0-;ncJ2lF%^jGV@lEo-TxnWmm7o|$7p=) zNvS|y-UgYfUp#W6Q_7}OxhR2cSe2mB=DT}Rx#DwRd8m6Uw}SwNKSATY?kD6A73+R6xJInV_CR z`AwduerxpN4ZQzUk^wk7o|Wk5Q)3LFglb@M_#o-8UCAUHNYo)98!utHi_|#HliboG+P*PbCo{&WqxdR$HuZUN$~PoSPtjT^n3J}Z5xU&)B*)A&KR z$;IPG9Lxpf@i4bT$bG6uQ5SjJ=pj@ZN8Z$tU4z%ck#3R5=&Z?IMiNPW@)Iz3z64qd z4Pwz)V6OA}8N+JLSBT^T3}!p-uleK|E4k|x)p}>!Ds)YX7r@W6l;{#muE^8dl%2E}Mm`jbsBLQGJZI7#d$A&d z-fI%o1A3oN0$xL11D%Lluvo0RtKZu?=HJ=h&`Z~2o+^WvWS|qa8x_1yA$^|mKAnSj zY96{Qx62oNE+2GSdu`mOx_(h~UB>e`C3emFP(O8SKCUeKFtua81KX&4F5EPif0{qH z&G1}V-@*P%RDQN`A3PQR?EXuB0k8m@^SutW56cVKM%0I&%iO13R21ebY`fOG%Gl-~ zO1{t5`Vp?{AAzcm^6mAb?8f$u#J{5dWj_2PAoU5*^3IduR!R8|d|C7fd?^gD<@WFK zKTvkrd08$2YVZE5I_mu&`v#wWE(V{ZJg!OQAAeE*)lmB{Vt^y*f63L)zf-IJaqH6f z1MD9v05!n(zh(zN4Bm(Tpuhb9+xUy>e|hr0+5S;{1+^#Tq)aM^C^vY5645Aj3n9g> zR04y%m`MU&A+1|=i+-#O_G1Zf-%@^?d=avX@OD^x+lw7&mY0 zXQFg7QAe7FfYb}?iVk822Qv;5B7eBg*8&zJg;b}2*k`bZ!`UjqX*%-8$c9V`cHnO5 z;~WvnWRLn==%Vnq21QFqk&8^E>7rXfIS~k@t~>r#ADLC=HzOg1T0tVfGylk$A`MSj zVZFghEWfj&idbZ)Wb9AC-Kso-f_I4h5H}QZ zhI6+9r)G1)uv#3_jk-!_jgrIxNm1i=m|UgB5F^BpXmLS*|FShgBt)}-u!4f*T>-yW zyLBH(g7oQ#bhm()x41-%h)P}te)dHD{&T_)|9mfM$%;NbYNLmMXzSLM2an}|4 z`O+rR)pOIMw!)&RhG!?qCaX|-;ufWaR%cw&86|SZKyd|wa{p{?7U09loy6j{`yk2! zCTW_@*5XG7hBRZk7u;4kf+ur=8w`<%t0a3L;hXJon6PDbZ&qGQ=dR%ct?4p!uvH5< zDNeOX)Mmw;%qf9m@0e!|L_2%71}ka%>?4&v`G-ZcL$5?8kTAIRZp>2hRoZ>t8ok># zDAKB+%M$;Ps_suxSJoa1=*&aMl^8j9P(32xUE48xunfe485{#U1MVO zT}nZXFWCeN5*TWxE>AdEz;UM?sgB0hMQ|t*beKvYsL(w~7&8%z8Z_t`2*YI@R>m{9 ztD^>0bb6J|mP)XrQ`!}~^$-XrhiC51W|ABfTmLqr5J4KvJc#e&Sg_l*+wn#uZG!%s zHF33U+uWN-8~a(*P*R`BF#!&#L<&*id@F29(aI~Y%JEy%0!~#bU8P`-GDSQ5goZ62 ziW<{}-gu6^d&iApftzqI<>9Idc)rY^17>pbY=aNGt zb5iEA{IdG-d3|FV%S?L;N|r4Jh73`h*6*7h7d!8pQN0bu!BV4R&H_dAEADXPlfl=c zJHx87N4XR5x(N+d!u!MqeH}9kYODAI;boKc;Z?Db&cT6z(#}^sHNvaEZ~<9-Jj?8WVQq zzS%gf#n|C^>qW`ImfvER=7zeG`gV`z6w{jpbe|N)eg#VmS|Bh*!^$f>O;?(5w{WV@ znvA+c%U{4-?_R@bONe(20wvHVuCs6*D$xwxGyZ`QX4Hx}}Jvga##(7ZvTbaOQ<&Bs6L;leK#BgmUA=nsgL1a)6X%l9mVB-Gf7i zTYiA2=#T`>TBokaLw!c(CKNDh!V#{xqe~x}RHXTv852$v2oLv1-YbTJKskdz(He@A zfP($GzK^%LW|hYvN!6sZ-ZuO@CnBmO{qcfkNMl{9oeEE7c2F-XI|I|WmHC~yOc9}UaWMa{R*lNT(MC|7rrn<@7*kTvF-&YV2?0MC>`lvA3Zv_qbZ6O+ zEEmf+3wW|~DYNmf#VJXH`g1Mia(I>xpe#E-^OnWhWw?y(**=x$K=4zCI&z99pJWx?felchm%89L*OGoC?B2Ns^)|$)cM%O3|yDZs~tznrUFQ2H=qa?zH{9N z#pA4va(SXqOW{qE_Jdp}wKqZ&doYMcZsrSo07-@B(p^&~zW69PT#O0c&#K8Ugjp^t zLOAb3W^CQao6oQxFNuy(2&l^nr8BNl^Vx<7703tCZ@>1$uu>uIO@sa)NF7|PeR`N)GYaJJ$O zAC$xUSDzZ1*kzrMeU<6{{UOVU_w^Q!w%~b&bokXDFSZ2AOK@}k6A#$vESZjX+jx~# zi2j^S8~18qx(-q;L3}bmm|G))i{pc0?=D8K`*-zys9GT1s66J}6nU;hpyh|7wF@9P zMo7c|iEN$E&1!Cz%`i^ri0xhjc zP~hCXdky_P5?%%p$n1MxwG*nE%Wh%zPh%D>J3}Cnoo4^Wb`{nHk=E_@O$YK7E=m# z&z*JAnzUL8{4Vqt1ld3vkat(qfad2(#VIsINtO%gwX1-byD% zA~QT)jU5;!ZBYss4+6ZkFdN9upRAe9lN@V3a7`j}xl7fFtoruR3JYfY2(bKFc=ufw zMcgqrU%nEpd;=8Y<-1W^jb(b?EC~T9v-KvAqwILrBKZH*Yt$-H$5>}`L6kXI6+cdg zft9}W;GZ}#GIz8KL~;S22Y!h=u#DT-PYGFq;lhwdW&Uzg#67kDcU5k+wUtf@0E$eE znQyku61UyiaHSqFW~5~PvzgKzyL!(StdqUefsf&28#fJ z^!Vsv=Kmt*z$~WICiVM%B`a-ER)(8hU5_?nE1AcmgVOUR3fDQP%gwaQ!lU_;dM)VQ z{hh|_5)Sya@c`REKl*uRq1TGF_@5;hg+tsiR*QNVzR~*0&}Q_x(O_3xV{^G7^ruBs z^;@4zk-=83+7hkshym7qdbB0t;wdFZVTSwO9`z{jq9)Cx(23C!&Mq6d1_Xm5>%N$nyzWIO4jZ54;+2HmiBgMm^%Kl#ihn4=tM6BT_pxhoVrc;-W9wyD6VK?mKQ+g_Wy3Q^`b2}Pf z0a>$9J}-nrYG9to9!VyUA(g-flnTQna^?NBLi6ZDhs~un-O%gKD>+~_Y;+weoBxSN zr!CRMSK^K~`?Iz!61srbb?uc+_<)Z#l6M%os^`U5vTq=jQnx0pdXdHPg+K3THV>QE z@diCI%CRnyZQypf0L#LIGw5u4J3X-D^(9KbeJGZ31K{v0dNsB>WdY49%bt`2Ck-Iw zAX9CV9f_+9d;I0JSYi&mfD#3?ry1yI3$;6!{+!Re55}sMH*P>wbgj~O0IRSL36KNq zix$4fM^+WKjoq7~Yn($Fz;&Jf0qz~>!Fo@aZev#z<5KKp^|fvB)-UbV2}fMj}vsafJ>Nk5`#uelH3%qAj=e{y-ApZ9KXxugSFqVAg#?#b_wOE8tl@~G#SH${(Z z{}jsmJHRHOQ2w>4)dZ`};jZC89q|#3cBeC+z3BX~E`{F+T=8H04Sj3eB#Z5=D!&c} z_N6WzCbJjYT_INl?x2?2U^o77;x-=RIv+l`8%`CN9v_*y+<4n7yRMY}X4b>>IY1xl zv$Hx>|!b-Xk4^EU-^L(kwUcyI#Xxv$Jo>{xp_Vg&27cVK>u- z_HQV8X zv1^;$5LO`@^}%`{Sl_!Z_O3Je|5nPS!#|Z0vhhLIXfhn6%*FP{mkp!Wt;YOjrlq>0 z%TT^gAwawSoS;<@J^ylw@t-uDUk!2pYtPng?lt4K`1!jGzDKC{SC7ZW*MYa-zu+w{ zFFh`w(>6AbJx3Q`hi%M`;gPynv+YGLO{frnZ>}I$C57~5iRy@T8bmFV!e&*<_EXU+ zek+qWhY6lZl}bWK2%afcN=AkXVx^QyMurQn+RMi-QzO(qH__LM5bem{jP`4*C4PFQ zAV{#-G!B>Lj3^N`jh5L`E0Hvfl(oO6qlC4?>nbSd8l-5EUNUE!t=;fDmzrW~7;m`j zIez++1=!@raG6sKT-SM&F0MYARDP$yHasDlw$sAwl2{*Kimp<(*p+4(Qn3P}abnPE zYc;Qo;#kMhX@qD!XSr>dt6W@dIb#`8vP#oBIdENhFE;;2T3z$RxYK!jQ61Bzm95i! z?nIvDwz0ewAU!v6t(4AOmF{24Ed6V~HD7lBBK!7t`N&4bYnNL^w5vjD`}szds<9Up zHWTh`bpPy#t7!l`^bsuwr0E#7Ux2|@?MII(#T?-4AC2YySrK)Wdav4jr>c*MfsQo+ zOQ(V6nB=}~jdGva{;b1qViQw@_#n<-S81a%d%}{3rmM<@^%}OSQW2r~lP`%(S_T|- z8_rSIRoCXATBiQVQgSMG&2TZoFL46OLyPV1aTQj>Yy00l2scWa*VcB=nN((ZCZTrk zLa1SkRylg9KNO0pB6)5g2RD9&)+XNYre&^JG-9gGPho3So?RK?(y&`KIx)D)V(r91 z{P1Zj1nxE@z>cma#^AYiIf`WFdYgb0&UH-y@nXl^l<8DHhQr5D@A3D}oY;u*DUKsa zjee-s^@GWbw~bJBMqtU*!`}1!N>AtEt%xM16K~^0wqw8-Al;%{l5^|8&I8qS>Zlw| zz^3Ip2clXdRa|e6HgfErK{M=M$)1_GD=NJ-ew?OOBLraJjU219YC%CU|CvF=rbSt7 zVbqf&ZL%*LH`i#_%8UYyc7Z|blf&OD<`WS? z?7;$h6>t7b=!^2t#!Btja9eNTa+JDqJWH((eXnptj0yFlT>ly)r(nzo6buhte0ZK_ z#sofM)S{%fhG=;K4u%ONF3;Ib@-64lCF4Vzgk%ShFMxxI;TG%tqcwo4 zo_uqG9%hp(k6MVg(qJ2E4-}}Q=U|5EgmqZgFD$NEgm%Q{egtR=N=I8~X?Fx^N=H}X z&@{M2+Op%Qu|tayzs%N-(OX1`eIxHDVRk7!D%_OY%HIMQc(Q^bb)VuCWWHa^! zZQlg6GHm`ty%N^HHqK^2hYd+jUnsqfk{8a5Jv-ROHUNo|UhTUMRf#{F2sr~G!JdG& z)sy4XM{K|9Gx%jOtWwfU5&RZJRD7dR6g<@E>g~$Np_Iv*A<7rb+QtLar0%HVYzSr~ z+o1?&MZxrQ9Q;`3JcUX$<|~8mq6mHFX$TadhRCgk>2GF`ua{`)r7yM;@i$J8=Gjv2 zT?LP81+bzo-Dh{e$8fl9GhOwFBKhr&4^H8_p!WMy-De=B6+Hv=>lxyr9t>{`p?!yi zf&zY%8^4n()!pgSu@`!u$veX0Il_ZC?qdaIOfFCE)8qxr*daMAY{GkjD)^A=iZCd0 zG0KFMN$@w&i=0)C`+8j}%@@|$XhtDz8tLIXy^8>E78{t_PNh(piC0P0Z zqjWH3YDmGumWVqdEpu4hpt{V+yijnfcaClh!&%HcUvG;g+5=OM$uT{eXwB6HU0=E zkmKEt`v%^UY>qTLux@xHBte4=``iNG1n;ZeA*mDMTTs)=*{LrjmidDp%A1%K=1ARr z+#2x=4;@Hb=QjTbw}gvdxEOd?y-JO&-2**tqA9sxpVju}`U5H@|djA>|~ z=1-!=L{DD(ue0R!fr}-^XoB;4g0qhP1Zz>EX&kbK>F{ERAmNrw1ZK*-vDCsuQeVmH zRzeG|xrIQZL@|CV81f3;#Bvh64Kg54CD4c)b1LMfMVU~@L|jV>nK5ORB3S`Aywx3O zUUEs^gfIfs;ZSIHj8%EVIq+fuWZ{;~1ZMq$e-wX@A*)*mEvSVPYC?}?v@8(qNU+zG ziMs&|LW@Pa;7f(ikZ^zOEL>&m1PF5u?jS8$_Uolc+EEK0FdET`zv}-_c0^C$fG{?BBG)8X-a#Fi;eQ0 zzmn(Epn4ypT>dd*yuhy!p{2O0$

#4rf^@c@-i-tB zb0LLBL4OhKx(N=g1~7 z9k6<+IVwB+Oeni9LxNo>L5m?v%OxD3ERt_ivH<%FE37$P=V*r_`8?~o z#kY3eMSt5@hwG|jf539c!eKog1pM{asihllbCu zUP5uuuvOY+k(!kNgzf;TzFx3cIdp`psU%-rX7O>kOi*z<3G^09pV~mP88cVoBb;@y ztipJpgdZibsami;%Bjj-bv3`(T?^lv*>pFtce$(#lFjyV=0xL`d~4yBc*|GntIi8O z>Q&P-g6(vXuS?fB$lEpGyk6?7&yx|&md#YMuZAV$Q$4N2*H6#RZ@&U5 zj3rp6jLVpU{63Q(wlDzvvJOgVd8)m($Hc>j7`2?c9_AVW8nrGTBc>oid9WdI*~rar zfJR&%i;;*C-V_p=BKFm57axE5fDgYRCk`yzz=lO>btzDdS>tDT(dS9{er<@Wz*}o4 zaNWcD&y|eVsn-xw+fD^E2v8*ue|-x@!*0eCwxVHFR~E`2Sj!E!>a<6L!+9U^xES_53h+% z-=(D!D0i>(P83b3*^_+?>xb=4l$YkjkMk1KqP$h&=(}U_wcnxU`8RUuaS5w;7uS@x z2K&^Cp))d-6DRCU#7NqZG|k_ZqUC5Q%8y@BPZS>RBZ^I*Ty#}Rcby-P1g@YJ~n$@u(z9sPt{%PeNDHUUHe^Kor`@J z6t2rkDjv((=QlM2ORlQaJiA5tcF^ zvey?&n|L}KOpInbHD|yLq5H&(?j-)IqRG1yj0wl8`rohEXMniB5zTgt1dJhq(%~qyr@7* zX>vLyTa1x}WOFY|e@g9rWV6gZLn5z=^crwU+m=joBWTRn2@3{2#9kHo(G^0T6WSf5 zlMK-}!~|Z#nim3&{ln-1n#c%ss>wI7ULJn!*sX&f9lkMR-G&2NUoQf4F zC!}FyLoaX&2i@8IEN82^Io7}4^6H{hFLwj|p1J@{!t#07rB#mZ8T|32b6agpm~T*E zeDqBi&RpA4`L^uq^_Ik$dr8%qd+7G>$rJgH(<3ujtzG>gs)YuiGv8&N}BweX{ zvn7!2P^osjRW@L#m7@G)}>vZv(4=30~}#Al_6ML8J&yg%qvEIi&xVUbCFoU;Gm z9j(8#159PJzuuheQjdy^j#c08{xYj^hi`{Btzl$StKpg>zKUnX?qG!>VeOWt<{i$lT9Ee4Sn(DLV*=AP%4X%-qQ z`4??<>pT^k+nTPL+{=Nz*Kg9aCA1E6zD(p?dsbgu0!n|@Y1oEUeQr(nQaj}NT%{7e z2Q0iDZEksL9^oz>lfTna;<|B--FLb6oxSMrm-k&Y^XJoA59tXjhPs*?(+A=rQ`6^! zpj8s9SWd4AFm%d|3weCWW;2J?W#T#S0jOyJYdT`@;L6bE?s>UO2;r*fiGC;D6sPq{ z72ZLs+9nK!gm)2X$T@A>7kwQ1|6HijRe0gf_srZ2QE^kfs$0452vzNlfZk~{0M*6HG7BYg+M?NH!SlZM-YnGplkfjj9Xvh+$h)}{ZO@jy>w zgT8I!^mq&;>d;lxWxJcS9eQF@7oGqH6>6Gg%%iiYIpAUc^7%ZY0r)C4-}#I3?HmmB z|>YSj>>~yvMkop|}K{$861({Vcd;D|E&~ zqA2NS6zOZZ)7X`)_m(}D+R&Vre_$vwPmvJ8Tt?W*nO@7PFw!`ST^)ymrRv^=g&^Tp zyVAsam>ZYMV6WGLx;Tdim|W@%9l=U^&>_Ek{Nz$@A9c|dog)a`%3+<*2A2gYg~Rzh z7tZsOZE%;xszw8MfZr|!`>S}`gjnFv<5=??E_v!TFlGigqUb=6_Q51vj-VZKZ@tO& zP(N;RSnb#vjf1-8fS&U=ve;i)q*d8HxWh=a(@D+C6PmTMSjHm0amoV3z4`yIjw=mk zLrcS!k`#@tRrjfpM(s7It@b6} znW7y3>(k z0{%&5`d3#jj%rc&1frF1ZnT7&_Xl8|vo7ameS4E%_DXWZyh^I2yDB?lPJfY^Eh+M7 zYNtITc{~_kI|tR9jzW--zPHmzb^i1OVv%z}y*jy<$|61;T?6Z&2bxi>zRDzSLyK#A z$Dfp5O}@3>r`}Q5F8{C{W*Lerc@p&^W+|b|BLEPa{uq_U?r+rg{kf$^RG(Tf^O=I+ zer9b5r75+caTt`>^AOd_tO)4noEHz2`zf}VSTvkhzj1cBPwNZ%*M{YHgD2HK8KRqr zMRmQSduJL#TCka;fv(^8(oX7uw5G~~nG$sl0S1Rw#Xbi@kOFWCxTFWFNtoMXgr8cs@iM45`d zimVVS^e^Th3_75M$!f7L6EM=iM3|*pA%*XfecQCV=Es*PLS5!e7@DQkOYOSMO|mb6 zHg2`jy7`KbZ&F-ZRZGn^g}88;Br*nhQM(6oohaT;ul$%j^){Q3n7LduU5EgtZ##L+ zhY1!u;t@bzM{q-g_^eXFE<046OR*-qPnLqF-+QxPC&Ut)vVLYK(;#_dM>^;hCpDG# zi!ty4bU=;oIUxeU$Inm=-6kadqFBKT4Y|!BcWyud zeT_`9I8AL)B(2c7doc9h2 z=EUyNoud~?8tJ7?IB7r(a8dULP|z=!O;_|!PD<{6Wx~x# zV#rE7W6P?cZ&gU*tla*&`V0;kPc>;_l2QgJ9aAyllz^`>h4C z^>6GCJwv7Jr2?~c7?3xLvMPT!bbvIs$;h#^lj@4KF{u+*uG5LM+NPC~AV|9nS0Tc( z+$uDV^&2KpOPIf64>vL-paX?0q%O|&C#RZ%4+CHOp#kkad&83GopVli={%LDEfoiO zBI@EpYG*rAeUzKO&=itq-*+IdMNrQnt2Mz)$!0HY&b(%NjnTM^Ep{iHkyyli5BMD2 za@h`t_=fC1tg~^PBs0dM%DQo#$Yq3rxCEMjIDb*=SI6kpdo!OXSVdedb>Zqf#08oI zEK?i2A$^7ROC-^ zo}@KIhlp`i>$1bm*ZlwdpnKeqD>@Mlc`nl*TcoYqsgx^1dcJXI>_$P@QkQWK;KL0_ zkP~SYK8HK1YJPTZq4S7Q60ZGqV~xmqI%PU>IQyh~jrQ?L+JUBuXi$P%w_T!LTvk|w zq{Hr%NWZq-6T{2c8qLiYU`oHiFUn_(*8QkUU)D8O4z>=^`a4?(x#8}0{y9CeLpgoY zjGki8Z-RdfoFu|ZRkA>}hvd8C9P0gAzU3+U<6|#63%aW3M@?Ti;)j!W(@~mJa!H<9zY9|89Z&^LZvc zI<8CsLyoz&Pu0IvT?`Vl%nhIMl^^71B`7$NOxt+_)f*ozW%#YmaDVQVWthQ#rr+v* zI$WZc^*8<$C3igMC;pq+ufsJY7rZ}x9h*rOuc{I{3M%1RWiu1R zAYbI>=IObC$o0*rwaqBOIW7*E4JX$z;Qx78v6(nHkGCJ=KOC)20#7ZAk9AE?(n|3tC4zuCmJ + + + 2c0000000200000003000000ffffffffffffffffffffffffffffffffb00000000c000000d0060000fc030000 + + + + + + + 542 + No + 100 + 120 + 100 + + + + + 83 + No + Yes + 83 + Yes + No + No + Yes + 0 + 83 + 100 + 83 + 0 + + + + + + + + 2 + Yes + 2 + Yes + Yes + 00000000 + + + + + 2 + Yes + 2 + Yes + Yes + 00000080 + + + + +