From 726486111dffe0258799b6c4e1ea89be161306f9 Mon Sep 17 00:00:00 2001 From: aixiao Date: Wed, 23 May 2018 00:12:48 +0800 Subject: [PATCH] AT89C51 clock --- README.md | 3 +- clock/c/Listings/STARTUP.lst | 253 +++ clock/c/Listings/clock.lst | 151 ++ clock/c/Listings/clock.m51 | 263 +++ clock/c/Objects/STARTUP.obj | Bin 0 -> 828 bytes clock/c/Objects/clock | Bin 0 -> 7900 bytes clock/c/Objects/clock.build_log.htm | 35 + clock/c/Objects/clock.hex | 45 + clock/c/Objects/clock.lnp | 4 + clock/c/Objects/clock.obj | Bin 0 -> 8434 bytes clock/c/STARTUP.A51 | 198 ++ clock/c/clock.c | 123 ++ clock/c/clock.uvgui.aixiao.me | 1868 +++++++++++++++++ clock/c/clock.uvopt | 209 ++ clock/c/clock.uvproj | 395 ++++ clock/circuit/clock.pdsprj | Bin 0 -> 19391 bytes ...pdsprj.DESKTOP-KUF14LL.aixiao.me.workspace | 26 + 17 files changed, 3572 insertions(+), 1 deletion(-) create mode 100644 clock/c/Listings/STARTUP.lst create mode 100644 clock/c/Listings/clock.lst create mode 100644 clock/c/Listings/clock.m51 create mode 100644 clock/c/Objects/STARTUP.obj create mode 100644 clock/c/Objects/clock create mode 100644 clock/c/Objects/clock.build_log.htm create mode 100644 clock/c/Objects/clock.hex create mode 100644 clock/c/Objects/clock.lnp create mode 100644 clock/c/Objects/clock.obj create mode 100644 clock/c/STARTUP.A51 create mode 100644 clock/c/clock.c create mode 100644 clock/c/clock.uvgui.aixiao.me create mode 100644 clock/c/clock.uvopt create mode 100644 clock/c/clock.uvproj create mode 100644 clock/circuit/clock.pdsprj create mode 100644 clock/circuit/clock.pdsprj.DESKTOP-KUF14LL.aixiao.me.workspace diff --git a/README.md b/README.md index 6fffe86..d5dbe93 100644 --- a/README.md +++ b/README.md @@ -1 +1,2 @@ -# scm \ No newline at end of file +# scm +AT89C51时钟程序 \ No newline at end of file diff --git a/clock/c/Listings/STARTUP.lst b/clock/c/Listings/STARTUP.lst new file mode 100644 index 0000000..7a773ab --- /dev/null +++ b/clock/c/Listings/STARTUP.lst @@ -0,0 +1,253 @@ +A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 1 + + +MACRO ASSEMBLER A51 V8.2.7.0 +OBJECT MODULE PLACED IN .\Objects\STARTUP.obj +ASSEMBLER INVOKED BY: C:\Keil_v5\C51\BIN\A51.EXE STARTUP.A51 SET(SMALL) DEBUG PRINT(.\Listings\STARTUP.lst) OBJECT(.\Obj + ects\STARTUP.obj) EP + +LOC OBJ LINE SOURCE + + 1 $nomod51 + 2 ;------------------------------------------------------------------------------ + 3 ; This file is part of the C51 Compiler package + 4 ; Copyright (c) 1988-2005 Keil Elektronik GmbH and Keil Software, Inc. + 5 ; Version 8.01 + 6 ; + 7 ; *** <<< Use Configuration Wizard in Context Menu >>> *** + 8 ;------------------------------------------------------------------------------ + 9 ; STARTUP.A51: This code is executed after processor reset. + 10 ; + 11 ; To translate this file use A51 with the following invocation: + 12 ; + 13 ; A51 STARTUP.A51 + 14 ; + 15 ; To link the modified STARTUP.OBJ file to your application use the following + 16 ; Lx51 invocation: + 17 ; + 18 ; Lx51 your object file list, STARTUP.OBJ controls + 19 ; + 20 ;------------------------------------------------------------------------------ + 21 ; + 22 ; User-defined Power-On Initialization of Memory + 23 ; + 24 ; With the following EQU statements the initialization of memory + 25 ; at processor reset can be defined: + 26 ; + 27 ; IDATALEN: IDATA memory size <0x0-0x100> + 28 ; Note: The absolute start-address of IDATA memory is always 0 + 29 ; The IDATA space overlaps physically the DATA and BIT areas. + 0080 30 IDATALEN EQU 80H + 31 ; + 32 ; XDATASTART: XDATA memory start address <0x0-0xFFFF> + 33 ; The absolute start address of XDATA memory + 0000 34 XDATASTART EQU 0 + 35 ; + 36 ; XDATALEN: XDATA memory size <0x0-0xFFFF> + 37 ; The length of XDATA memory in bytes. + 0000 38 XDATALEN EQU 0 + 39 ; + 40 ; PDATASTART: PDATA memory start address <0x0-0xFFFF> + 41 ; The absolute start address of PDATA memory + 0000 42 PDATASTART EQU 0H + 43 ; + 44 ; PDATALEN: PDATA memory size <0x0-0xFF> + 45 ; The length of PDATA memory in bytes. + 0000 46 PDATALEN EQU 0H + 47 ; + 48 ; + 49 ;------------------------------------------------------------------------------ + 50 ; + 51 ; Reentrant Stack Initialization + 52 ; + 53 ; The following EQU statements define the stack pointer for reentrant + 54 ; functions and initialized it: + 55 ; + 56 ; Stack Space for reentrant functions in the SMALL model. + 57 ; IBPSTACK: Enable SMALL model reentrant stack + A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 2 + + 58 ; Stack space for reentrant functions in the SMALL model. + 0000 59 IBPSTACK EQU 0 ; set to 1 if small reentrant is used. + 60 ; IBPSTACKTOP: End address of SMALL model stack <0x0-0xFF> + 61 ; Set the top of the stack to the highest location. + 0100 62 IBPSTACKTOP EQU 0xFF +1 ; default 0FFH+1 + 63 ; + 64 ; + 65 ; Stack Space for reentrant functions in the LARGE model. + 66 ; XBPSTACK: Enable LARGE model reentrant stack + 67 ; Stack space for reentrant functions in the LARGE model. + 0000 68 XBPSTACK EQU 0 ; set to 1 if large reentrant is used. + 69 ; XBPSTACKTOP: End address of LARGE model stack <0x0-0xFFFF> + 70 ; Set the top of the stack to the highest location. + 0000 71 XBPSTACKTOP EQU 0xFFFF +1 ; default 0FFFFH+1 + 72 ; + 73 ; + 74 ; Stack Space for reentrant functions in the COMPACT model. + 75 ; PBPSTACK: Enable COMPACT model reentrant stack + 76 ; Stack space for reentrant functions in the COMPACT model. + 0000 77 PBPSTACK EQU 0 ; set to 1 if compact reentrant is used. + 78 ; + 79 ; PBPSTACKTOP: End address of COMPACT model stack <0x0-0xFFFF> + 80 ; Set the top of the stack to the highest location. + 0100 81 PBPSTACKTOP EQU 0xFF +1 ; default 0FFH+1 + 82 ; + 83 ; + 84 ;------------------------------------------------------------------------------ + 85 ; + 86 ; Memory Page for Using the Compact Model with 64 KByte xdata RAM + 87 ; Compact Model Page Definition + 88 ; + 89 ; Define the XDATA page used for PDATA variables. + 90 ; PPAGE must conform with the PPAGE set in the linker invocation. + 91 ; + 92 ; Enable pdata memory page initalization + 0000 93 PPAGEENABLE EQU 0 ; set to 1 if pdata object are used. + 94 ; + 95 ; PPAGE number <0x0-0xFF> + 96 ; uppermost 256-byte address of the page used for PDATA variables. + 0000 97 PPAGE EQU 0 + 98 ; + 99 ; SFR address which supplies uppermost address byte <0x0-0xFF> + 100 ; most 8051 variants use P2 as uppermost address byte + 00A0 101 PPAGE_SFR DATA 0A0H + 102 ; + 103 ; + 104 ;------------------------------------------------------------------------------ + 105 + 106 ; Standard SFR Symbols + 00E0 107 ACC DATA 0E0H + 00F0 108 B DATA 0F0H + 0081 109 SP DATA 81H + 0082 110 DPL DATA 82H + 0083 111 DPH DATA 83H + 112 + 113 NAME ?C_STARTUP + 114 + 115 + 116 ?C_C51STARTUP SEGMENT CODE + 117 ?STACK SEGMENT IDATA + 118 +---- 119 RSEG ?STACK +0000 120 DS 1 + 121 + 122 EXTRN CODE (?C_START) + 123 PUBLIC ?C_STARTUP + A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 3 + + 124 +---- 125 CSEG AT 0 +0000 020000 F 126 ?C_STARTUP: LJMP STARTUP1 + 127 +---- 128 RSEG ?C_C51STARTUP + 129 +0000 130 STARTUP1: + 131 + 132 IF IDATALEN <> 0 +0000 787F 133 MOV R0,#IDATALEN - 1 +0002 E4 134 CLR A +0003 F6 135 IDATALOOP: MOV @R0,A +0004 D8FD 136 DJNZ R0,IDATALOOP + 137 ENDIF + 138 + 139 IF XDATALEN <> 0 + MOV DPTR,#XDATASTART + MOV R7,#LOW (XDATALEN) + IF (LOW (XDATALEN)) <> 0 + MOV R6,#(HIGH (XDATALEN)) +1 + ELSE + MOV R6,#HIGH (XDATALEN) + ENDIF + CLR A + XDATALOOP: MOVX @DPTR,A + INC DPTR + DJNZ R7,XDATALOOP + DJNZ R6,XDATALOOP + ENDIF + 153 + 154 IF PPAGEENABLE <> 0 + MOV PPAGE_SFR,#PPAGE + ENDIF + 157 + 158 IF PDATALEN <> 0 + MOV R0,#LOW (PDATASTART) + MOV R7,#LOW (PDATALEN) + CLR A + PDATALOOP: MOVX @R0,A + INC R0 + DJNZ R7,PDATALOOP + ENDIF + 166 + 167 IF IBPSTACK <> 0 + EXTRN DATA (?C_IBP) + + MOV ?C_IBP,#LOW IBPSTACKTOP + ENDIF + 172 + 173 IF XBPSTACK <> 0 + EXTRN DATA (?C_XBP) + + MOV ?C_XBP,#HIGH XBPSTACKTOP + MOV ?C_XBP+1,#LOW XBPSTACKTOP + ENDIF + 179 + 180 IF PBPSTACK <> 0 + EXTRN DATA (?C_PBP) + MOV ?C_PBP,#LOW PBPSTACKTOP + ENDIF + 184 +0006 758100 F 185 MOV SP,#?STACK-1 + 186 + 187 ; This code is required if you use L51_BANK.A51 with Banking Mode 4 + 188 ; Code Banking + 189 ; Select Bank 0 for L51_BANK.A51 Mode 4 + A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 4 + + 190 + + + + + 195 ; +0009 020000 F 196 LJMP ?C_START + 197 + 198 END + A51 MACRO ASSEMBLER STARTUP 05/23/2018 00:05:56 PAGE 5 + +SYMBOL TABLE LISTING +------ ----- ------- + + +N A M E T Y P E V A L U E ATTRIBUTES + +?C_C51STARTUP. . . C SEG 000CH REL=UNIT +?C_START . . . . . C ADDR ----- EXT +?C_STARTUP . . . . C ADDR 0000H A +?STACK . . . . . . I SEG 0001H REL=UNIT +ACC. . . . . . . . D ADDR 00E0H A +B. . . . . . . . . D ADDR 00F0H A +DPH. . . . . . . . D ADDR 0083H A +DPL. . . . . . . . D ADDR 0082H A +IBPSTACK . . . . . N NUMB 0000H A +IBPSTACKTOP. . . . N NUMB 0100H A +IDATALEN . . . . . N NUMB 0080H A +IDATALOOP. . . . . C ADDR 0003H R SEG=?C_C51STARTUP +PBPSTACK . . . . . N NUMB 0000H A +PBPSTACKTOP. . . . N NUMB 0100H A +PDATALEN . . . . . N NUMB 0000H A +PDATASTART . . . . N NUMB 0000H A +PPAGE. . . . . . . N NUMB 0000H A +PPAGEENABLE. . . . N NUMB 0000H A +PPAGE_SFR. . . . . D ADDR 00A0H A +SP . . . . . . . . D ADDR 0081H A +STARTUP1 . . . . . C ADDR 0000H R SEG=?C_C51STARTUP +XBPSTACK . . . . . N NUMB 0000H A +XBPSTACKTOP. . . . N NUMB 0000H A +XDATALEN . . . . . N NUMB 0000H A +XDATASTART . . . . N NUMB 0000H A + + +REGISTER BANK(S) USED: 0 + + +ASSEMBLY COMPLETE. 0 WARNING(S), 0 ERROR(S) diff --git a/clock/c/Listings/clock.lst b/clock/c/Listings/clock.lst new file mode 100644 index 0000000..cc89c39 --- /dev/null +++ b/clock/c/Listings/clock.lst @@ -0,0 +1,151 @@ +C51 COMPILER V9.59.0.0 CLOCK 05/23/2018 00:05:56 PAGE 1 + + +C51 COMPILER V9.59.0.0, COMPILATION OF MODULE CLOCK +OBJECT MODULE PLACED IN .\Objects\clock.obj +COMPILER INVOKED BY: C:\Keil_v5\C51\BIN\C51.EXE clock.c OPTIMIZE(8,SPEED) BROWSE DEBUG OBJECTEXTEND PRINT(.\Listings\clo + -ck.lst) TABS(2) OBJECT(.\Objects\clock.obj) + +line level source + + 1 #include + 2 #define uint unsigned int + 3 #define uchar unsigned char + 4 sbit led1=P1^0; + 5 sbit k1=P1^1; + 6 sbit k2=P1^2; + 7 sbit k3=P1^3; + 8 sbit k4=P1^4; + 9 sbit k5=P1^5; + 10 + 11 void xiaoai(); + 12 uchar code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; + 13 uchar code wei[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; + 14 uint miao,fen,xiaoshi,ge,shi,bai,qian,wan,shiwan,t; + 15 + 16 void times(uint z) + 17 { + 18 1 uint i,j; + 19 1 for(i=0; i CALLED SEGMENT +--------------------- +?C_C51STARTUP + +--> ?PR?MAIN?CLOCK + +?PR?MAIN?CLOCK + +--> ?PR?XIAOAI?CLOCK + +?PR?XIAOAI?CLOCK + +--> ?CO?CLOCK + +--> ?PR?_TIMES?CLOCK + + + +SYMBOL TABLE OF MODULE: .\Objects\clock (?C_STARTUP) + BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 2 + + + + VALUE TYPE NAME + ---------------------------------- + + ------- MODULE ?C_STARTUP + C:0A4DH SEGMENT ?C_C51STARTUP + I:001CH SEGMENT ?STACK + C:0000H PUBLIC ?C_STARTUP + D:00E0H SYMBOL ACC + D:00F0H SYMBOL B + D:0083H SYMBOL DPH + D:0082H SYMBOL DPL + N:0000H SYMBOL IBPSTACK + N:0100H SYMBOL IBPSTACKTOP + N:0080H SYMBOL IDATALEN + C:0A50H SYMBOL IDATALOOP + N:0000H SYMBOL PBPSTACK + N:0100H SYMBOL PBPSTACKTOP + N:0000H SYMBOL PDATALEN + N:0000H SYMBOL PDATASTART + N:0000H SYMBOL PPAGE + N:0000H SYMBOL PPAGEENABLE + D:00A0H SYMBOL PPAGE_SFR + D:0081H SYMBOL SP + C:0A4DH SYMBOL STARTUP1 + N:0000H SYMBOL XBPSTACK + N:0000H SYMBOL XBPSTACKTOP + N:0000H SYMBOL XDATALEN + N:0000H SYMBOL XDATASTART + C:0000H LINE# 126 + C:0A4DH LINE# 133 + C:0A4FH LINE# 134 + C:0A50H LINE# 135 + C:0A51H LINE# 136 + C:0A53H LINE# 185 + C:0A56H LINE# 196 + ------- ENDMOD ?C_STARTUP + + ------- MODULE CLOCK + C:0000H SYMBOL _ICE_DUMMY_ + C:0800H PUBLIC xiaoai + D:0080H PUBLIC P0 + D:0090H PUBLIC P1 + D:00A0H PUBLIC P2 + B:00A8H.7 PUBLIC EA + D:0008H PUBLIC shiwan + B:0090H.1 PUBLIC k1 + B:0090H.2 PUBLIC k2 + B:0090H.3 PUBLIC k3 + B:0090H.4 PUBLIC k4 + B:0090H.5 PUBLIC k5 + C:0A02H PUBLIC _times + C:08F9H PUBLIC main + D:000AH PUBLIC miao + C:0A22H PUBLIC duan + D:000CH PUBLIC qian + D:000EH PUBLIC bai + BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 3 + + + D:0089H PUBLIC TMOD + D:0010H PUBLIC fen + D:0012H PUBLIC shi + C:0A32H PUBLIC wei + D:0014H PUBLIC wan + B:00A8H.1 PUBLIC ET0 + D:0016H PUBLIC ge + D:008CH PUBLIC TH0 + D:008AH PUBLIC TL0 + B:0088H.4 PUBLIC TR0 + D:0018H PUBLIC t + D:001AH PUBLIC xiaoshi + C:0A3AH PUBLIC int0 + C:09FEH SYMBOL L?0042 + ------- PROC L?0041 + ------- ENDPROC L?0041 + C:09FEH SYMBOL L?0042 + ------- PROC _TIMES + D:0006H SYMBOL z + ------- DO + D:0004H SYMBOL i + D:0002H SYMBOL j + ------- ENDDO + C:0A02H LINE# 16 + C:0A02H LINE# 17 + C:0A02H LINE# 19 + C:0A0CH LINE# 20 + C:0A21H LINE# 21 + ------- ENDPROC _TIMES + ------- PROC XIAOAI + C:0800H LINE# 23 + C:0800H LINE# 24 + C:0800H LINE# 25 + C:080FH LINE# 26 + C:081EH LINE# 27 + C:082DH LINE# 28 + C:083CH LINE# 29 + C:084BH LINE# 30 + C:085AH LINE# 32 + C:086AH LINE# 33 + C:0871H LINE# 34 + C:0878H LINE# 35 + C:087BH LINE# 37 + C:088BH LINE# 38 + C:088EH LINE# 39 + C:0891H LINE# 40 + C:0894H LINE# 42 + C:08A4H LINE# 43 + C:08A7H LINE# 44 + C:08AAH LINE# 45 + C:08ADH LINE# 47 + C:08BDH LINE# 48 + C:08C0H LINE# 49 + C:08C3H LINE# 50 + C:08C6H LINE# 52 + C:08D6H LINE# 53 + C:08D9H LINE# 54 + BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 4 + + + C:08DCH LINE# 55 + C:08DFH LINE# 57 + C:08EFH LINE# 58 + C:08F2H LINE# 59 + C:08F5H LINE# 60 + C:08F8H LINE# 61 + ------- ENDPROC XIAOAI + ------- PROC MAIN + C:08F9H LINE# 63 + C:08F9H LINE# 64 + C:08F9H LINE# 65 + C:08FBH LINE# 66 + C:08FEH LINE# 67 + C:0900H LINE# 68 + C:0903H LINE# 69 + C:0906H LINE# 71 + C:0906H LINE# 72 + C:0906H LINE# 73 + C:0909H LINE# 75 + C:090CH LINE# 76 + C:0910H LINE# 77 + C:0913H LINE# 78 + C:0917H LINE# 79 + C:091AH LINE# 80 + C:091FH LINE# 81 + C:0923H LINE# 82 + C:0927H LINE# 83 + C:0929H LINE# 84 + C:092FH LINE# 85 + C:092FH LINE# 86 + C:0937H LINE# 87 + C:093AH LINE# 88 + C:093DH LINE# 89 + C:093FH LINE# 90 + C:093FH LINE# 91 + C:0945H LINE# 92 + C:094DH LINE# 93 + C:0950H LINE# 94 + C:0953H LINE# 95 + C:0955H LINE# 96 + C:0955H LINE# 99 + C:095DH LINE# 100 + C:0961H LINE# 101 + C:0969H LINE# 102 + C:096BH LINE# 103 + C:0973H LINE# 104 + C:0977H LINE# 105 + C:097FH LINE# 106 + C:0981H LINE# 107 + C:0989H LINE# 108 + C:098DH LINE# 109 + C:0995H LINE# 110 + C:0997H LINE# 111 + C:099FH LINE# 112 + C:09A3H LINE# 114 + C:09A6H LINE# 115 + ------- ENDPROC MAIN + BL51 BANKED LINKER/LOCATER V6.22.2.0 05/23/2018 00:05:56 PAGE 5 + + + ------- PROC INT0 + C:0A3AH LINE# 118 + C:0A3CH LINE# 120 + C:0A44H LINE# 121 + C:0A47H LINE# 122 + C:0A4AH LINE# 123 + ------- ENDPROC INT0 + ------- ENDMOD CLOCK + + ------- MODULE ?C?UIDIV + C:09A9H PUBLIC ?C?UIDIV + ------- ENDMOD ?C?UIDIV + +****************************************************************************** +* RESTRICTED VERSION WITH 0800H BYTE CODE SIZE LIMIT; USED: 020AH BYTE (25%) * +****************************************************************************** + +Program Size: data=29.0 xdata=0 code=607 +LINK/LOCATE RUN COMPLETE. 0 WARNING(S), 0 ERROR(S) diff --git a/clock/c/Objects/STARTUP.obj b/clock/c/Objects/STARTUP.obj new file mode 100644 index 0000000000000000000000000000000000000000..e6972dc32f663b249bd998c7f9a1d65fa245c106 GIT binary patch literal 828 zcmZuvO=}ZT6g~5ic9OIvsTA!-hE9<@D?fzi<)mDEaaevt#l+Bj&*mK$3+U&h}KDf5- zZ1&2gCxFYE{&e=gb_Fx%C!MJzAIW1)y@g!O?Z(^{eiZVEmj?VS+=T*uFokLIb62Xd zt5PUIg~lcY6E$MpwR>{~;%FI3%I=*R#?^(8tbVtsGSMCE@OSX3<+h9Uz3K+NW=S`<%#J$SmUz-U16;U zmLdC&cL*m-vgdDiFaMrhz1io1H?k!d#HtvLa?ptZPObaV?KqT%543CeNV|?B+9xoi WJ&SJ`(QVLD!#+R>RNwOWLXx(Y>-p7Y)P?%v(S9sPdX zz2`gMd3@*fo$qe{A(-s&ReRk^Bk08uishDylA{vc85C*0n82XltM>FmI`CRaRUn0T!a>N_|axQ%`T7c4u`v zs@(41(ADl6T;J2z?u#y7(pK%AyL_zw=P+4X7r+fIOXb?Pq2H|w%uPXAnbFeJKmuC^ zbLzM>D%_Wi8M=(rRs8|2RaZCQuOqc1bMfUb4;CUc^E$4S;hgXufYn!D55hQrr4EE$2seb6 zgRqmm1i+4DqQ5T8I3Z*KCx@b;FxZC)-QbJ*0_sYDundw8g$PNs;UroPFMPWGy<^wkAa5FQ1P*n}3jz8QoaxRVHmIhsL?8^EheZ%ar=!*R%v z-j*btL5%(eM;59Bf*kyTk_A?Ly$4zyX#JqPW&7x7f4?-eqf8JlyrZ}g8I2+8-; z_ICr^AU^;Qk)H)WeNBz+N8+)i-UPkxfFf7%;c~j00V!$fqtm_N(t7 z+Jp%w2#Ob#69laZH z67071C>3yHGi=>M$Wh3~xjTC4Z3@_}outqnu-c-*Py<>Er*7{-Tt2>_4ggj1OzY+z zTvUiNOr>LBv8qwje=698)jc4LfYlmZjuQ{kOTYuR5nK{&#+hQY${+}(V9%o6GmbU# zEL-n}LC+`36td@pBs&7N9?ycRH7*GR{oT9Yfqt5hMi0dveHW+g@#&Gv(`Z;7)yRY* zAwPk6BIvSWuAEUQV+9*(fjbcJXYH6{I$3M<(ibm%^vIcq!$qSP|K~gj7Wu#3TUYeQ za-IiE_V8#F$!+j~1GA!BR(_$BVVnsvG85!HdSEPM%Y}q2f(fRduEIsOg*Lx0|a4m%Tsd$Y`BE5$N^YemerrQ$aL zn#3ysZWi4DYsGSaJ46Lwi->NzOPmSt9dQ=GK5;g{0kHz$uy{4VW8yUcPl$5>ekooH za9o@R@Vw{&I3;=kUJ|PSUJ(}n{7uBvct>0a@DFhjz`NoSfOBFEz{lb@0b=4(fb-&Y z@EG%;?zM7P76wev=U@4P;~C1O(w&Ar^Je5C3feSvwx{w9$q}=>|UzMIE#E4pILU-urij1vo4%PNsN!nUOJpTR@Pmt zmiF67HnJ2XM?m=)&3-|wCFPgV!v}CttiyB?>(L8R10F4sipP`GNRQ?8@Z-GHgh4F@ z09;ZKpg_X&WSSHLD3!thS4lSjOqZGgW=j!(N+}93Pimn@E5IV@Mt~Zr4d6QICV*P$ zW_qjwP^ES(+R`mpo26T^EK3?di*y@3x&UsGx&b<*9)Moyc7S!#YJdT04ZvmzE6;Xm zEx-(2c1dsVwxX4lAfVkpX602<`20FClCfEDsx@IK>89A3s_ z!>S(}gSTN|2^PRm0<2N?-tLaU4*M4N?5C%VJ-Rk__t<;b>>7GL-I2@MCkyZfs=VWB z);!Y=4s$%_X%e2p z9FIAhgy%5FWBw-LIZXHiodu{NK#r!xyHIVprhPYq&19V!kj?Jylo>TUFW*NZJU(Cw z+cZXI_Yh!!F_UGRh{z;vgh?zhAsCBS5`1+Ej72L6k8za*Q-pDTF0K?E>>sB2v4yo; z|H1`G#pV9u-M2)*q!Pt8k@Ww=NdgH-)FoU&zi=;GY>u>Pj#FqTk zxVbEGx8K)2lZl{HVTVp)n2TBgZmy@e#n*X1|56qfR${nVvM}}wM)1uxSX6zMfrTSQ z3v4tD7BdVM^J5uUQ1zw3{T{-1o&x0tiv^#iu}CB;9@}hi7Eu!m+88!72Fqu-eephX zGL0v%y8@^>OGB*y!rZ>ZlTKwboGKHWB}3+~jcrtak|r{-4Jk#WnFWc&uFGKgIL}17 z=dFq}O_Ya3oD`)ntwWgWeI;koc)eHKgiOfpH0amdf>5l}AOi>ZA);StEl6f#M=Gg^ z4PJL*L#YK6uLXu|T1{1gyV}yJi|6;s!)bi)qxKBxFJG7qK8PndW*EENB)QnbvLesp z1sl;Mcw-7ZcBTgXTb)^qx21PZ-0JrD>U)js#E*bDM}tei`=ucv%sH&R=4)^$G;s)V z7eu{U(37!w#4eu=Ql#1-^U(4CG)gBc(V$CZfU*WX>q`41o8^wzBxnE*HhA4x=dM#)%rigfZyVUdteu(vJoSUcmi~ zADCW_i%yg+UOEIQ)=3Pb;w3l91Y1q;{!Z%V@%BdP;EObA#Tk@oH8J*>2pfG-RhyoH zkP18v+C>%LMYutGI0Fs!3^a%)#A)yoT@TWH)uLI3^gNu%`Q}?k0e6D_Dbj^(lkbCe z{B%h^!_Um52M)SNPz}W!G#mV0p~PV_B;6}!r@;Ka$MO7r$6+2_9Om~of=NEVw~#!# ze{z_L2jTfWhT}QR@%&!H@f_y(O>uoWp2LI>@EjTHTGO#`KxYCz6j^5E{gIo{5l!-HZ%`VV#ZlkHk%+EeiRzy)8pRh4lDCVe zIDRz$eVpcU#ciri!e}VUsMj>_VVeow&v|0I75o}@DK+sR88Z>)b}8XygFZfav^O%? zgc^Dpw7n%<75qn`Elrg#Z_lWLanYm-xy%exk7C|Fm1s&tl1b}(Q{Z(7o9X)y=JaFS z}<9=Eq=*xqwUN`pkb=E52&*4Edy_#6DKKUCQFg=11;>MxF)0FFt|f%65ZJhylJ z-pS+R%IF*ChsMQo^Cr*EpExuBi?csIb99*h_5TMgkyZ7%bli?rQ0{|{?JTU!7C literal 0 HcmV?d00001 diff --git a/clock/c/Objects/clock.build_log.htm b/clock/c/Objects/clock.build_log.htm new file mode 100644 index 0000000..a880c8c --- /dev/null +++ b/clock/c/Objects/clock.build_log.htm @@ -0,0 +1,35 @@ + + +
+

Vision Build Log

+

Tool Versions:

+IDE-Version: Vision V5.25.3.0 +Copyright (C) 2018 ARM Ltd and ARM Germany GmbH. All rights reserved. +License Information: a a, a, LIC=---- + +Tool Versions: +Toolchain: PK51 Prof. Develpers Kit Version: 9.59.0.0 +Toolchain Path: C:\Keil_v5\C51\BIN +C Compiler: C51.exe V9.59.0.0 +Assembler: A51.exe V8.2.7.0 +Linker/Locator: BL51.exe V6.22.2.0 +Library Manager: LIB51.exe V4.30.1.0 +Hex Converter: OH51.exe V2.7.0.0 +CPU DLL: S8051.DLL V3.122.0.0 +Dialog DLL: DP51.DLL V2.66.0.0 +

Project:

+C:\Users\aixiao.me\Desktop\clock\c\clock.uvproj +Project File Date: 05/23/2018 + +

Output:

+Rebuild target 'Target 1' +assembling STARTUP.A51... +compiling clock.c... +linking... +Program Size: data=29.0 xdata=0 code=607 +creating hex file from ".\Objects\clock"... +".\Objects\clock" - 0 Error(s), 0 Warning(s). +Build Time Elapsed: 00:00:00 +
+ + diff --git a/clock/c/Objects/clock.hex b/clock/c/Objects/clock.hex new file mode 100644 index 0000000..1b8c219 --- /dev/null +++ b/clock/c/Objects/clock.hex @@ -0,0 +1,45 @@ +:03000000020A4DA4 +:0C0A4D00787FE4F6D8FD75811B0208F9E3 +:100A2200C0F9A4B0999282F880908883C6A1868E7C +:080A32007FBFDFEFF7FBFDFEC3 +:0409FE00E493F5A0E9 +:100A0200E4FDFCC3ED9FEC9E5015E4FBFA0BBB002A +:0F0A1200010AEB64794A70F50DBD00010C80E418 +:010A210022B2 +:10080000AE0AAF0B7C007D0A1209A98C168D17AEBB +:100810000AAF0B7C007D0A1209A98E128F13AE104D +:10082000AF117C007D0A1209A98C0E8D0FAE10AF9E +:10083000117C007D0A1209A98E0C8F0DAE1AAF1B18 +:100840007C007D0A1209A98C148D15AE1AAF1B7C91 +:10085000007D0A1209A98E088F0974222517F582D6 +:10086000740A3516F583E493F580900A39E493F51C +:10087000A07F017E00120A0275A0FF74222513F5E5 +:1008800082740A3512F583E493F580900A381209D0 +:10089000FE75A0FF7422250FF582740A350EF583CC +:1008A000E493F580900A371209FE75A0FF742225A3 +:1008B0000DF582740A350CF583E493F580900A36C1 +:1008C0001209FE75A0FF74222515F582740A3514ED +:1008D000F583E493F580900A351209FE75A0FF7444 +:1008E000222509F582740A3508F583E493F5809092 +:0908F0000A341209FE75A0FF2272 +:1008F900D2AF758901D2A9758C3C758AAF120800EF +:10090900209104D28C8045209204C28C803E209391 +:100919000FE4F50AF50BF510F511F51AF51B802C06 +:10092900208C132094100511E511700205102094F4 +:100939001B12080080F8208C13209510051BE51B5D +:100949007002051A20950512080080F8E51964144B +:100959004518700EF518F519050BE50B703C050ADD +:100969008038E50B643C450A700EF50AF50B051154 +:10097900E511702605108022E511643C4510700EC2 +:10098900F510F511051BE51B7010051A800CE51B08 +:100999006418451A7004F51AF51B120800020906B5 +:03000B00020A3AAC +:100A3A00C0E00519E51970020518758C3C758AAF76 +:030A4A00D0E032C7 +:1009A900BC000BBE0029EF8DF084FFADF022E4CC32 +:1009B900F875F008EF2FFFEE33FEEC33FCEE9DECFB +:1009C900984005FCEE9DFE0FD5F0E9E4CEFD22ED41 +:1009D900F8F5F0EE8420D21CFEADF075F008EF2F8B +:1009E900FFED33FD4007985006D5F0F222C398FD7C +:0509F9000FD5F0EA2219 +:00000001FF diff --git a/clock/c/Objects/clock.lnp b/clock/c/Objects/clock.lnp new file mode 100644 index 0000000..122f995 --- /dev/null +++ b/clock/c/Objects/clock.lnp @@ -0,0 +1,4 @@ +".\Objects\STARTUP.obj", +".\Objects\clock.obj" +TO ".\Objects\clock" +PRINT(".\Listings\clock.m51") \ No newline at end of file diff --git a/clock/c/Objects/clock.obj b/clock/c/Objects/clock.obj new file mode 100644 index 0000000000000000000000000000000000000000..153dfb45080fbc5be37f3e239718162d933d22f0 GIT binary patch literal 8434 zcmcgxdvKK16+hqi?PjwHd60m-6gFAjAZ#Mxq13n}3oInrkZgEJbj?dx2oNC=#0LRH zMI&!)om#b{)!Gh%j&<5n-{Ye`JI=HnJMG9QSZ(d-)Kaxn?C+fK-rbKcup|HUX6D@c zyZ4-P&pr3t`<=V#dW*ijp{k-RP})q(nkXkC2#l;)b`hVJCr6&spuEu9;>Cj{c*IgR7X zCny0h#adT&v~_Eqb*-x=5FM}dEH6IGkU>*tdzSl@Q6^1)@4lwes!N*}b|gC!*H<*d z?p`3qAQ4U$s+ne!Chn!86Kk1 zR9IQxP?^9oBaM~9ZQ3JW+apV(;aE6ok3f`Vj!-_!)P|#VcE5+N;QnH}A6^LA{a)~6 z+M(pHtcuxPS!8n8Aaqs7?XG)=jUvoRlwDa>*%YmgE*6%Y%Bn3g>=(d(bqG+Lbs++=f5aK4U4PM|TFJH{2EZav|7r3%)SH4(?scr9X91Y80 zx;S@A)u+CTh!sEo^}|Q*-M8(l-aU70f91!w?b`C(`=5Pz>YLN|1P3uYiZ?eAt%@Y7 zn` B`O1>D40tD1D^02n}fL}K&3_@S*1ocSpa_tRv3m@6v*0?Y+2WmglBrmGV4QV z?BPZk8V_=#9JU@N!;FMw>E5gjE0Y^r)}nVWdRK$bvyU6hx1SrApmBg3)6h7`jS5+B zX;z{;xu#=-I3?&=(~?{ZjV5_LYhZ#naI~krr-gex=)Eo}O{~Fc6$5vY<%!qEsxh98 z&TBiEGY2hLBs($2+Srjq-vIR4CO$@n6^Vz?mrq`EMF$quN0t?z&+ELCTQz8T`oI=% zV75YdtQ&O^d422|sFwX4>q)Nd4s8t<*l|iZSv8fR(6sW-LO%jV+GV?aehK2^`%gaj zp+5)5$75mT*$>SClUFhIokN8k3#Wq!NSG!Yx3JKHzE6V)W^}=kM(|Ea*C!_Y4^WdXF!I1`mDW%K~$dN)H7C1dBWf zTBZYq7K7&FlrhI(8X>0I#+;J1*%3S1cUl7faXL&l(akxqsgLd^2i!%wo$$OzyJ-xa z+}2GMbaFd9*t-X}92~jDxP>rT&x7Bdh2j4o%x=d;> zeNXBls+78zB8qRm-~qHi>O87Ze07R1uK1P+CR!%7LCX>Bd@ipNTtF>|9>#5g^Jz7C zfQQias5zi+QvA2bcrI;I{I?3uLBufs1qc-8CpxJ3zc1rH`k~_gk>D))iSQ4mpDO;x z75@<#_tH~}|7pPU?64`ke+j}-sM zf{8vC{v!H9@sA9?h8q$K86sz6sL)}c1vDIVB#i*Qm@WjJjZHUcA^J?Zk^-QsufaP~~Pk;Z`DMPosCAs9^BOXEQg&_vLOX%gt82nv&a2CXI?qN$+IPzdySDg%9q z%0XYDX`p|=Niyj@nhyGBngRMg%>w<5E(QH3g2tqiu*;;c5IFPY+}mfpq|k@!hCf=* z`hLMl0KI#-XQ%P*V?BMdd+t1pLl@ZVdAF}O64>W?v9I^?!2W{cC!tIK^-d1-6$cI! zdg%mpnO+JU7y+5yuekSMy7!=0dQVXMpa^y4v$ROe_EPUm^v*t`cPx5K`}IOw?*Q}; z1+RxSKqWTha{nSDADhH`6O_#};amIAj*~i@CP?jvSGm6k9_6|ip5odMU)i;NsIqB} z(pRhaVybSGx`>vlar>|g#9?9iLh4ZU3aJNDQfdo_hsP~iC$&i%6yB)tjjFy`^>2~d zPg_;JL+WhWsqozjVHV#M^*ip>i@Y~&*P{q z%A;k$Qp4h4sFaYnLTDJ&gljBpT@|R0szGyb^RUP~q4R}CK?`vJESORQI)rLLhf^Ks zNQ!}$P(A2aS_C?g8bGH|BWQ@?pwp>I=wi^zX$fc;F1Ik>GSIm=R7{tH)=)EO9JiJQ zzNksIt;FV7)J4~VuBR2Co6%>{E!ZTBZo?6^=nia@MSG|d^Z=~^ zy^q#{-j9v5=n+U;IF9Q<4`B-@;plkf=p6MSt3wWr5IpYp%a@iDi4$_RI5&LFhtC3f zoRs)F zr8cqRI_^aI7G-8@uJn5)v0M!)B!-gLu(Gc9-@_|>AsFzBUAB#_2QqN$rm@F?@wSrj zajtQV_4s7hxW;-MX|WWZkM(P;$EUl-HP+*oxyCi-@x85_vk~Pb66yt)n4d`8lfh?_ zR6>G%c2jGPNK2~yR|p}o!Eh}M+csc`5bm6HkjJP_3 zpCe4`E7V5utKe___OS^j+D28P=E8o(5uvCJahsFir7nE63$J(J4^u%`mZ*v+p2*<9 zMkU5;za(WV-UpwE1KEYP1GhRHi07sh?XY1$dcn*++=c6b`HQ9bJJ99}SK}3EJLOjb zYyPWSk#qv*L?fZZV*Jt&YuKM*J--Ai7gqgtD_>8T3Qwkm{gzW9Ch!q*FXtl2=;?Vm z&i(bZW{XZdxn4aFmFyv>$^ful@hGjbK2!{qCgRqTEhpNZayqA8cF|5_6;72LwNA`Y z>%<(jPRy%vVvbskvvefPn;S}O$lz`k;=)GNrq)qDu-`iLg=HdLw2NLx380>ry&ZJn zu`WE`g(tc2#V(9z@EQ8cUAV%9XSndCEO?f^T0gT15#T=fcjY(H{}}XE6g}9{HrQ zi9}o5(+hH6pd&G^=VorcB~ts;YEQ3!ggVeq?+#ASAb<9YIi&~VJdYDMxaMsJc7A8p zxbQlce3wK1?N*=YHj( zZ75^%xX89ccCI2N7UIwUlbD_D=EAje!}$<$Vf$z3DCxm3g75Sb!f!5MT#!&#_MB=A2e$)$GLJePH(wr9VKY9@f!12!HM}R;KY3EJ2Bt#PRx<6v0oqC zNH|aJ)Y)$0+abb5n-E4@NBJSW*WD$uC}-Z3cAVQ$ZP1{N;B(UM(8zcbu#P65ei1ar zWA%xT`)yD99icOBwlfujn@6aXoh^#p8^%$r?TV*@2{Blfcs;|!eEhiZ#MAUX;}_|d z)zs;0OJ5x({x4z|mf@L?U|!2GT#RhS*gc$^FC_nsct?>mikbZM;LZ;W|<{ch3kLyh_J z;Bozq(c>EHas95*;~MMn^~zp7t}&0-=rhvOwz6gY=6)Nd!;Vk4U3kOTD)*DndHvL; zq6bfS4!dFf6sHd#ryyKd@l=PPgx%;2g7vnX2u|1QXv9Z9aVmopC!<_=x&`|F=6~o6 zg3y^EqHQ$r1B+eQg@bhl8%L&ZKd)}jFyF6~D9`tno-bNmlUR~LXqrQ)K@0Ie!9Ie} zcRd~#LW%Qu@N>> *** +;------------------------------------------------------------------------------ +; STARTUP.A51: This code is executed after processor reset. +; +; To translate this file use A51 with the following invocation: +; +; A51 STARTUP.A51 +; +; To link the modified STARTUP.OBJ file to your application use the following +; Lx51 invocation: +; +; Lx51 your object file list, STARTUP.OBJ controls +; +;------------------------------------------------------------------------------ +; +; User-defined Power-On Initialization of Memory +; +; With the following EQU statements the initialization of memory +; at processor reset can be defined: +; +; IDATALEN: IDATA memory size <0x0-0x100> +; Note: The absolute start-address of IDATA memory is always 0 +; The IDATA space overlaps physically the DATA and BIT areas. +IDATALEN EQU 80H +; +; XDATASTART: XDATA memory start address <0x0-0xFFFF> +; The absolute start address of XDATA memory +XDATASTART EQU 0 +; +; XDATALEN: XDATA memory size <0x0-0xFFFF> +; The length of XDATA memory in bytes. +XDATALEN EQU 0 +; +; PDATASTART: PDATA memory start address <0x0-0xFFFF> +; The absolute start address of PDATA memory +PDATASTART EQU 0H +; +; PDATALEN: PDATA memory size <0x0-0xFF> +; The length of PDATA memory in bytes. +PDATALEN EQU 0H +; +; +;------------------------------------------------------------------------------ +; +; Reentrant Stack Initialization +; +; The following EQU statements define the stack pointer for reentrant +; functions and initialized it: +; +; Stack Space for reentrant functions in the SMALL model. +; IBPSTACK: Enable SMALL model reentrant stack +; Stack space for reentrant functions in the SMALL model. +IBPSTACK EQU 0 ; set to 1 if small reentrant is used. +; IBPSTACKTOP: End address of SMALL model stack <0x0-0xFF> +; Set the top of the stack to the highest location. +IBPSTACKTOP EQU 0xFF +1 ; default 0FFH+1 +; +; +; Stack Space for reentrant functions in the LARGE model. +; XBPSTACK: Enable LARGE model reentrant stack +; Stack space for reentrant functions in the LARGE model. +XBPSTACK EQU 0 ; set to 1 if large reentrant is used. +; XBPSTACKTOP: End address of LARGE model stack <0x0-0xFFFF> +; Set the top of the stack to the highest location. +XBPSTACKTOP EQU 0xFFFF +1 ; default 0FFFFH+1 +; +; +; Stack Space for reentrant functions in the COMPACT model. +; PBPSTACK: Enable COMPACT model reentrant stack +; Stack space for reentrant functions in the COMPACT model. +PBPSTACK EQU 0 ; set to 1 if compact reentrant is used. +; +; PBPSTACKTOP: End address of COMPACT model stack <0x0-0xFFFF> +; Set the top of the stack to the highest location. +PBPSTACKTOP EQU 0xFF +1 ; default 0FFH+1 +; +; +;------------------------------------------------------------------------------ +; +; Memory Page for Using the Compact Model with 64 KByte xdata RAM +; Compact Model Page Definition +; +; Define the XDATA page used for PDATA variables. +; PPAGE must conform with the PPAGE set in the linker invocation. +; +; Enable pdata memory page initalization +PPAGEENABLE EQU 0 ; set to 1 if pdata object are used. +; +; PPAGE number <0x0-0xFF> +; uppermost 256-byte address of the page used for PDATA variables. +PPAGE EQU 0 +; +; SFR address which supplies uppermost address byte <0x0-0xFF> +; most 8051 variants use P2 as uppermost address byte +PPAGE_SFR DATA 0A0H +; +; +;------------------------------------------------------------------------------ + +; Standard SFR Symbols +ACC DATA 0E0H +B DATA 0F0H +SP DATA 81H +DPL DATA 82H +DPH DATA 83H + + NAME ?C_STARTUP + + +?C_C51STARTUP SEGMENT CODE +?STACK SEGMENT IDATA + + RSEG ?STACK + DS 1 + + EXTRN CODE (?C_START) + PUBLIC ?C_STARTUP + + CSEG AT 0 +?C_STARTUP: LJMP STARTUP1 + + RSEG ?C_C51STARTUP + +STARTUP1: + +IF IDATALEN <> 0 + MOV R0,#IDATALEN - 1 + CLR A +IDATALOOP: MOV @R0,A + DJNZ R0,IDATALOOP +ENDIF + +IF XDATALEN <> 0 + MOV DPTR,#XDATASTART + MOV R7,#LOW (XDATALEN) + IF (LOW (XDATALEN)) <> 0 + MOV R6,#(HIGH (XDATALEN)) +1 + ELSE + MOV R6,#HIGH (XDATALEN) + ENDIF + CLR A +XDATALOOP: MOVX @DPTR,A + INC DPTR + DJNZ R7,XDATALOOP + DJNZ R6,XDATALOOP +ENDIF + +IF PPAGEENABLE <> 0 + MOV PPAGE_SFR,#PPAGE +ENDIF + +IF PDATALEN <> 0 + MOV R0,#LOW (PDATASTART) + MOV R7,#LOW (PDATALEN) + CLR A +PDATALOOP: MOVX @R0,A + INC R0 + DJNZ R7,PDATALOOP +ENDIF + +IF IBPSTACK <> 0 +EXTRN DATA (?C_IBP) + + MOV ?C_IBP,#LOW IBPSTACKTOP +ENDIF + +IF XBPSTACK <> 0 +EXTRN DATA (?C_XBP) + + MOV ?C_XBP,#HIGH XBPSTACKTOP + MOV ?C_XBP+1,#LOW XBPSTACKTOP +ENDIF + +IF PBPSTACK <> 0 +EXTRN DATA (?C_PBP) + MOV ?C_PBP,#LOW PBPSTACKTOP +ENDIF + + MOV SP,#?STACK-1 + +; This code is required if you use L51_BANK.A51 with Banking Mode 4 +; Code Banking +; Select Bank 0 for L51_BANK.A51 Mode 4 +#if 0 +; Initialize bank mechanism to code bank 0 when using L51_BANK.A51 with Banking Mode 4. +EXTRN CODE (?B_SWITCH0) + CALL ?B_SWITCH0 ; init bank mechanism to code bank 0 +#endif +; + LJMP ?C_START + + END diff --git a/clock/c/clock.c b/clock/c/clock.c new file mode 100644 index 0000000..be2b7da --- /dev/null +++ b/clock/c/clock.c @@ -0,0 +1,123 @@ +#include +#define uint unsigned int +#define uchar unsigned char +sbit led1=P1^0; +sbit k1=P1^1; +sbit k2=P1^2; +sbit k3=P1^3; +sbit k4=P1^4; +sbit k5=P1^5; + +void xiaoai(); +uchar code duan[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; +uchar code wei[]={0x7f,0xbf,0xdf,0xef,0xf7,0xfb,0xfd,0xfe}; +uint miao,fen,xiaoshi,ge,shi,bai,qian,wan,shiwan,t; + +void times(uint z) +{ + uint i,j; + for(i=0; i + + + -6.1 + +
### uVision Project, (C) Keil Software
+ + + + + + 38003 + Registers + 115 30 + + + 346 + Code Coverage + 452 160 + + + 204 + Performance Analyzer + 612 + + + + + + 1506 + Symbols + + 42 42 42 + + + 1936 + Watch 1 + + 200 133 133 + + + 1937 + Watch 2 + + 200 133 133 + + + 1935 + Call Stack + Locals + + 200 133 133 + + + 2506 + Trace Data + + 75 135 130 95 70 230 200 150 + + + 466 + Source Browser + 300 + 0 + + + + + + + + 1 + 1 + 0 + 0 + -1 + + + + + + + 44 + 2 + 3 + + -32000 + -32000 + + + -1 + -1 + + + 32 + 32 + 800 + 545 + + + + 0 + + 239 + 0100000004000000010000000100000001000000010000000000000002000000000000000100000001000000000000002800000028000000010000000100000000000000010000002A433A5C55736572735C61697869616F2E6D655C4465736B746F705C636C6F636B5C635C636C6F636B2E630000000007636C6F636B2E6300000000C5D4F200FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD500010000000000000002000000C90000007000000000040000ED010000 + + + + 0 + Build + + -1 + -1 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8400000053000000EE020000B7000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1005 + 1005 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000073000000C2000000B1010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 109 + 109 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000073000000C2000000B1010000 + + + 16 + 2900000046000000BF000000BB010000 + + + + 1465 + 1465 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000005C010000EE020000B9010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1466 + 1466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1467 + 1467 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1468 + 1468 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1506 + 1506 + 0 + 0 + 0 + 0 + 32767 + 0 + 16384 + 0 + + 16 + 7102000073000000EB020000BD000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 1913 + 1913 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8700000073000000EB02000098000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1935 + 1935 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 030000005F010000EB0200009A010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 1936 + 1936 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 1937 + 1937 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 1939 + 1939 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1940 + 1940 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1941 + 1941 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 1942 + 1942 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 195 + 195 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000073000000C2000000B1010000 + + + 16 + 2900000046000000BF000000BB010000 + + + + 196 + 196 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 0300000073000000C2000000B1010000 + + + 16 + 2900000046000000BF000000BB010000 + + + + 197 + 197 + 1 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 00000000F10100000004000098020000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 198 + 198 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000003F010000EE020000B9010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 199 + 199 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000F4010000EB02000019020000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 203 + 203 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 8400000070000000EE020000B7000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 204 + 204 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8700000073000000EB02000098000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 221 + 221 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000000000000000000000000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 2506 + 2506 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 6E02000070000000EE02000051010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 2507 + 2507 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000005C010000EE020000A3010000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 343 + 343 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8700000073000000EB02000098000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 346 + 346 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8700000073000000EB02000098000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 35141 + 35141 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8400000070000000EE020000B7000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35824 + 35824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8700000073000000EB02000098000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 35885 + 35885 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35886 + 35886 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35887 + 35887 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35888 + 35888 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35889 + 35889 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35890 + 35890 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35891 + 35891 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35892 + 35892 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35893 + 35893 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35894 + 35894 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35895 + 35895 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35896 + 35896 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35897 + 35897 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35898 + 35898 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35899 + 35899 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35900 + 35900 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35901 + 35901 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35902 + 35902 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35903 + 35903 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35904 + 35904 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 35905 + 35905 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 38003 + 38003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000730000007D0000009A010000 + + + 16 + 2900000046000000BF000000BB010000 + + + + 38007 + 38007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000F1010000EE02000038020000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 436 + 436 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000F4010000EB02000019020000 + + + 16 + 2900000046000000BF000000BB010000 + + + + 437 + 437 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 440 + 440 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 463 + 463 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000F4010000EB02000019020000 + + + 16 + 2900000046000000BF000000BB010000 + + + + 466 + 466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000F4010000EB02000019020000 + + + 16 + 2900000046000000BF000000BB010000 + + + + 470 + 470 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 8700000073000000EB02000098000000 + + + 16 + 2900000046000000A0010000A3000000 + + + + 50000 + 50000 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50001 + 50001 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50002 + 50002 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50003 + 50003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50004 + 50004 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50005 + 50005 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50006 + 50006 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50007 + 50007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50008 + 50008 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50009 + 50009 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50010 + 50010 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50011 + 50011 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50012 + 50012 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50013 + 50013 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50014 + 50014 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50015 + 50015 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50016 + 50016 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50017 + 50017 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50018 + 50018 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 50019 + 50019 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 7102000073000000EB020000CA000000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 59392 + 59392 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 0000000000000000C80300001C000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59393 + 0 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000009802000000040000B1020000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59399 + 59399 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 1 + + 16 + 000000001C000000E901000038000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59400 + 59400 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 2 + + 16 + 00000000380000007D02000054000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 824 + 824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 030000005F010000EB02000084010000 + + + 16 + 2900000046000000A9000000CF000000 + + + + 3317 + 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 + + + 59392 + File + + 2213 + 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 + + + 1423 + 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 + + + 1423 + 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 + + + + 59399 + Build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ebug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clock.c + 0 + 1 + 1 + 1 + + 0 + + + + +
diff --git a/clock/c/clock.uvopt b/clock/c/clock.uvopt new file mode 100644 index 0000000..2afbd62 --- /dev/null +++ b/clock/c/clock.uvopt @@ -0,0 +1,209 @@ + + + + 1.0 + +
### uVision Project, (C) Keil Software
+ + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + Target 1 + 0x0 + MCS-51 + + 24000000 + + 1 + 1 + 1 + 0 + 0 + + + 0 + 65535 + 0 + 0 + 0 + + + 120 + 65 + 8 + .\Listings\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 1 + + 255 + + + 0 + Data Sheet + DATASHTS\ATMEL\AT89C51_DS.PDF + + + 1 + Instruction Set Manual + DATASHTS\ATMEL\AT_C51ISM.PDF + + + + 1 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 0 + 0 + -1 + + + + + + + + + + + + + + + 0 + + + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + + + + + + + + Source Group 1 + 0 + 0 + 0 + 0 + + 1 + 1 + 2 + 0 + 0 + 0 + .\STARTUP.A51 + STARTUP.A51 + 0 + 0 + + + 1 + 2 + 1 + 0 + 0 + 0 + .\clock.c + clock.c + 0 + 0 + + + +
diff --git a/clock/c/clock.uvproj b/clock/c/clock.uvproj new file mode 100644 index 0000000..db32dd0 --- /dev/null +++ b/clock/c/clock.uvproj @@ -0,0 +1,395 @@ + + + + 1.1 + +
### uVision Project, (C) Keil Software
+ + + + Target 1 + 0x0 + MCS-51 + 0 + + + AT89C51 + Microchip + IRAM(0-0x7F) IROM(0-0xFFF) CLOCK(24000000) + + "LIB\STARTUP.A51" ("Standard 8051 Startup Code") + + 2976 + REGX51.H + + + + + + + + + + + 0 + 0 + + + + Atmel\ + Atmel\ + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + clock + 1 + 0 + 1 + 1 + 1 + .\Listings\ + 0 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 1 + 65535 + + + S8051.DLL + + DP51.DLL + -p51 + S8051.DLL + + TP51.DLL + -p51 + + + + 0 + 0 + 0 + 0 + 16 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + + + 0 + 1 + 0 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + + 0 + -1 + + + + + + + + + + + + + + + + + + + 0 + 0 + 0 + 0 + 0 + -1 + + 0 + + + + + + + 0 + + + + 0 + 0 + 2 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + 0 + 0x0 + 0x10000 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x1000 + + + 0 + 0x0 + 0x80 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + + + 0 + 0 + 1 + 0 + 1 + 3 + 8 + 2 + 1 + 1 + 0 + 0 + + + + + + + + + 0 + 1 + 0 + 0 + + + + + + + + + 0 + 0 + 1 + 0 + 2 + 1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + Source Group 1 + + + STARTUP.A51 + 2 + .\STARTUP.A51 + + + clock.c + 1 + .\clock.c + + + + + + + +
diff --git a/clock/circuit/clock.pdsprj b/clock/circuit/clock.pdsprj new file mode 100644 index 0000000000000000000000000000000000000000..56d5eab2c251882eed2703af3bab9e921acb7015 GIT binary patch literal 19391 zcmZU4b9|j$vv!*_PGj3fW81cE+qTu%wvEQNjmEaEZ@15L-uIl}_s5MjGi&A=thu$f ztOW2kq%S~Uz`w+OX_jO-ogDA|^5x4jV1oi|vhp(GLIR33s#20#lY9}qKj1*N+PVnt z;^LW=EVzggr=^XOl*bGmr|MAP89~~pUM{1?cJyp6xwuni%3tpZyj$07FFImJ1jS~a zt3O;HNC{muqmt#JH^F>3HQhJSpN~L)jf%7uo`WG}!~_~!cGp^|^T`G{>E;!x3+DA= zJn-fc--Ay5X+3W~8$B#0}aNY*+;R#Qu$sZ&TA>3N_j z-{EeKIm1kRtC;A7u0sgv67aeymd)!=Gg1@uvMoPQQy#usmRL9pGpA_Cb5?aflsG4Q z_DZo?cA1_(5K6HhZKeM)>Yn%`sBxQO2v zG*xb9U>x6p!gCy`E~mHejMurumagrm76sNgj$sU>-%7}tLQlEEViQDPFua-_K@EI= z&mcYxGtG6)b!beKOgHUT`;D7mYE-HdX~V{WtSk7hOd!yq%HJ@c^R<-uVuEb0tXV7$$zACBN`CPD3PBeRVoP{Uat4zoN;XhFHA+R-R@byZRQ>@C_P#Y}M^xg-VU!Rk~x7j7uabqc#N zYRH>T3uY#wNSZ0*XJK?y$eW~BS1{EQNJnr=p7|cc%;+eQw?VJ2a#R#-Eitbnj0tIF zeiSX(Hg;6R-^}QUdW#txAn+pi^J_PqCgZ&gUT5XD2f`tVr^Ge~MklSEUT-sUXZbc5 zf{Wx+bnheMo9s>%#HP|yXE3hVZ-#9TNS^5{gRoZ;Po3=$3~z$#DhM9gr`X`^QHgnt zPQegJuElAFb~lHVEQzyNeHq3F&z`FBc!Pl~^RoeetyD77aIU%KF_1QT1!-iDs@f@( zvr$Dhg|nbRZk-xRhkec3WQnVxGvE7UQaP^|$_KlEC4DZc)(*ed`NcdUr!ybj!y&V*$asQZ zQ2}J=#8TGU)J`c6M zZhCk5n10@T(BgrbF}J{=;p)79SDMFNA8|+!F<^>}=t-;qRYQu|5rdoDsf8+zUYldz zt=Ah>3tE9qwwCED=)uI>IO+upYj7Q%b({wOqVYdcR4Ie6jR59Yv-Sg-evUOXet7 z{;Wo^{btGCQYq&Tindcl9E@V`OCOo{g9e9YGXI^dW`1|NZZOfkp#=i`2~p- zyrn>$RkKtb{>p3;?DRrA^%J+Gw3yXk(i;OIqlh zTc}}!s~2|Y@y!7Za=QuazQA_6ZEI+cJOSTcefCfFz+Rf`Hhv1`9jnT8p-A7k47r2K zYr=(jQzMK9wh-|v{Mx4D;H*mzafw83xOfxPBWY#-@EFp9TJft#sR3=WMKAmD>@*1T z+dW_8RO3W$JB!O>*$)`Z6$laklRW8K{#uy_C$TDV7I{K7>tQb*?AV42_CvtOH1bCI zDf|w&U$D5Gj4&&rf?lgPR@rc2?%t<-+fCgL^h0PzC&SN24o-<$XQtJg0-IiMVU9d; zNRI7^=cj|*z6URaY+`(^l#3-osl{RqlSMf63#w3!HSqMMq}8`$lN#b}K^^)T-18~d z$vjS*L$bH)WrN3AoAtF7f5VC{#)SZ&-Q;2#NfLRI9~ug2?IpAc>X=dx{!$RaNXGsz zvaAenqePNKLVU#DY$I{1K13)qh2;4B+yNtsd6edphrDKd`t@*B}VQl2`Yq2Bjq^ zVhIqNR0%*L@L4SQ!-_Jj#}7Ps!DGV-N6R^Jf8P64u3kclIyh*A3Ca=`AnUGBuIs^2WO-RG`g+B z5e-hs^bdn;#UIgveWj+jmvWzv)!Gi%mu@HgBdy=}{VOXytdKD)A{)3RzwEMH*Q5?o zHR+Nq88nA&V&t3?{=S+AHL`~mk`KL?AV1?Fk>_QT{jOLHN~X)ipU9ZR3psx@)BhnI z&Bj&t@T8@v!lwPLgf>Zf=*8o%<^0WsfrwQjzJDsW&S(=*97fzi!3oDxwSf>%Pog_p|s`;AhE?C9fyf*dOXmsHC@-yu%9@qt%UAw6j08o8Td_*I9@|;$UcpseBWnQ z5OG?S9X-Buy^YOF)$W`spN*LD<)h`u#Bre?MGtch0o_4QVA3_muXMkkDy8S!U5-Pj zbt};myQeyW&HB`@Z4U^iC| zR=DS9u{H$`R4l8~OnYcM&njYcbo6B`1kR};A-yIx>_kheXBBdP6 ztt*P_)6|Sy-0h%4!vZ6%xfIE)d?haZeZj6w<_)0=sXc(@W5sz+e9&Rx6UP?<8bp-Jx5Rn zXkIY6JB1jdP?pNcnYrrcKzFK;dxqeGFz0v)bCO<-mRCISvh%NF`d2)NlHcDFk&NS` z-@Q+3iLniK45>3gMTg2^rjD_J;x89)MKGl=%L zr5VpJ^IM;3bq`W24H_rM1%Z~0*D^4#gL$Q+r_ieAjjZ#c2uj_tU@T@}vcFew9n4}x z#ueg8XS8Cv>E|8nV2xQ_8?ju;=ma|Ou;h(mNL9s^`jt@h>_o6<>|Kgh{#5j|T42UZ zuiU6~d`o8!bSVXfqOySUYH*!^Tq~;zb$>79R@(KN%;F4&$y$YTY93)4GO&=bgh0OQ z{GPd>x^GeUp(HZ}^ei)a-xS1bchAx(imQRq!dp8Zyt%@nKhH34=xMK>EYKnK>pitf zo?_GLvVhqB0>4W$V!lmTa5OV40 zbRtTLJMA=kVP#w6Q&utm>v_m`Vyl^|NRqplufpCQ`)Bq&&RO@mmB%8RiC@X)EN8xra>f*Q-Nv z;gl&&P7n(@kM5BvUw^=@4M(`12gM%gQeky;ZmZWClk@y@R8SnP(O>QBLE4-`LddWC_DI5NW&y*6~dnL11E#zbvws1hNZFR^kj zZW0dH#5dK`;YfrK>A6@_X^5px2GVEH#HD9pVQy3w29q|~mNlLdVx?Y#cKA&SGgb-P z)YR9~vM?uM78M(FMoI1;ht-b+a$qw2x~@Q(l#xUYCWVaJxNs#%xF&g}6+pfUG6VNH z%44D+WNAwY$A*M@KH7&0rF!Gud}91$K!>dUelN)J3Wmq!j1h$ohIk#x9d5Z>eZ(P^ zKb*zpimpQ}@+^|e1A8z8ITt-ncAyhdWvy%B{Y(%fU8B0`_bdeNaK@;U; zfF>fcq^qriTn5N1jlWWrf!Fv!P5^`%|Wh2PI85A7H!>#05TKhw_o_U1HhDWl=zvFIy$B#<_nyiIhBf$C0yWnGn|;pdhBt-&i+?WHPt1}oPYO*LMap%of|tK7V+iMg#()pHkrLy zEw`ZtRD&um8SZ}=V;}pDqo_vCX|$uQ_d`?2D@h8=dxM)Cy#zeEa>m7~duty%m*E-Buo3>N2WtJS>p&^mY5syI!mo8*Q$?{OSKuXxHV+;3RE{i){7bIN2($*UM?WV2gAiG?29uSVaP<~ zx$!Eje?Uz5eI!OZ?Qz@!{TmcWHVc=o$^Wgv`eOkT`_yzL6E)6y@%Qwes)&rHeeN>m zO+M7$SQLG{kpMv6UrPPgkJeM5A$wu(ZUCyI7+UnC*l%YBxl-89;SnOyg#m~tIa-D>Ka^Y#{7V*C$Y1!Hyz&~Yna|C;s&;2^~ z9#55cU_c?1bD1{tSkCZva{L0yf*4?%Q2RrQlI3MNz6cS@h?sjH++ck{Jkm>P`8H^u zHV|c5e*t|R1gGh6ZEEhA$MeAHnaypnJx+#4!Bc-k4A5QkqL=T#;iy77`>!Kv3aVNn zIfR3N-uPMK7FlOq$pzRRD zO&9YKFYh>XJ)a-Lf%syt{^Pn+G<^{Ok z3euc>4Jko#;6=YbkB%)7a|+pB#iVikVx(e!5HI~Tgtflk(Am7@XZkXK!C+O6akb3F zt{Ph=c4}wN%&E$h#?Up|k>y#|sCFYE2VGq{GfdDe2MOdd(LCa)V0>6hkoP`o5j^h8P>}TQW)AS z)^^vOtDUgE2*3a*voGReon_v9*Mj@kQ_HdHrW~dp_JBdeP@cEQ_V`W`q6y03j;wzF ziLCy9$`2H9i&)boUYQs)L~nh377LuBO|ItIy`A|HzJ8-@*VYo|7VhVLmgyccC{8`YN>G70&lJgG+t;iY9E25P>XlpdFoR>>-;h zmlluPt_1B(9LQQrD`+@lF_ufr<%O=)EV=jEb4OA4<%8Jag7Pztt5d~-`S}Vf$7biF z>p>L-M2xWkBFssw?0 zd&1r6k(EOHM2vW{GDf5KiX5K9gl)*^(N17R2r=ldJl>C3PZe?vIafF1kIya7rY`0O z?Ge?iTpjQ^l=wDRBjsTY5%PSh*J9UW7mA>sOV3J}sRLs(7lWZVtzFA%3}*Xv1sK<} zd9CtJLGw6`^J~P+!e*J{g=R-$A&XZwE@U~e@7)Sh7Vk}ROzb@c4lO)T_E|0(qQu0%tlH*@pJB?QQz{OTSila%7ueF|=9# z=hzi%&9nm!66shTAfB5OTQw>hw_#aOt|uer9uXV;p%lAeUXTx~RL~seh^0Sgf_QM} zOsF;AMb*(Kih55g@%Rc2gzsw))Ww&hX`O)Z4KVVz_s`+OGnAE{wuA%6Pe8OK*ROflLGBxHnJUi-j`B8qFP<;nZuZ}yIZ$SN6k#9m9k2gl}V-= zm2?IZ+VYLcL_5rp6(#1@2XTZ*d?hL5c?xCDT_Zmwvyg#yfl!ZJ*NjS- z_+>BSN<(T$?m9Q_b2iXtJ=IWaY=eKCGq03&GW^}R~#3#JiDd zzJO`1jHNJ@x9>oV0u}c-5FpqnH(vp1qn-UUpTMh`224-sSpbW|`L zfVdIRvO7=Rp3K))D>z3lR^MBIIioj+pGHA|2pxe}@H)c1JKazAeBo=4?tu)PwyQ-3 z2XcT`#tV#&sN_B31`rD#zHoq+Q?g+NAcOP#q5fd`k0>qZkS+-SQOh#qH_-nQiT^`X z*h8z}J<&Qtv-HMS_gj$!mDO?#oQ7BRgWFLkH2A^$L*##EiN3P~rThI=|6f|=CL2&X zb{H#76HuuGQgrvf01t-g02nD-h*$(RW(bDK)>E%6_{^$Zt+;lWKnR8~7r+ zApU7shZVd}zNf4vAg#MqL6Z*3cvxBXRVEPpmw)!^bItv3laPT{Jd^iSd<_}dRSujp z%Gp3y0hmsNPn_1Hu7eQkbwX39N-f(}CJ{%JB9orc{37E>M}3!Y`0Xx!rI6TklwdC3 zVy3$xXolg~^^15prd+dnH-6vtD+WcUBnC{eUvVm-?h*~rE9Bf~!)=e6eDX>v9fhi~ zJv~1`DXx3zxcB@TM=F`16s}nA`c_2Y*k6J57J%C>y0DJyF#=#6E$G1EgIeg80Su4! z=q$g9l+j$kyHGKJxYMW?z-6cR{9}pdrj0-;ncJ2lF%^jGV@lEo-TxnWmm7o|$7p=) zNvS|y-UgYfUp#W6Q_7}OxhR2cSe2mB=DT}Rx#DwRd8m6Uw}SwNKSATY?kD6A73+R6xJInV_CR z`AwduerxpN4ZQzUk^wk7o|Wk5Q)3LFglb@M_#o-8UCAUHNYo)98!utHi_|#HliboG+P*PbCo{&WqxdR$HuZUN$~PoSPtjT^n3J}Z5xU&)B*)A&KR z$;IPG9Lxpf@i4bT$bG6uQ5SjJ=pj@ZN8Z$tU4z%ck#3R5=&Z?IMiNPW@)Iz3z64qd z4Pwz)V6OA}8N+JLSBT^T3}!p-uleK|E4k|x)p}>!Ds)YX7r@W6l;{#muE^8dl%2E}Mm`jbsBLQGJZI7#d$A&d z-fI%o1A3oN0$xL11D%Lluvo0RtKZu?=HJ=h&`Z~2o+^WvWS|qa8x_1yA$^|mKAnSj zY96{Qx62oNE+2GSdu`mOx_(h~UB>e`C3emFP(O8SKCUeKFtua81KX&4F5EPif0{qH z&G1}V-@*P%RDQN`A3PQR?EXuB0k8m@^SutW56cVKM%0I&%iO13R21ebY`fOG%Gl-~ zO1{t5`Vp?{AAzcm^6mAb?8f$u#J{5dWj_2PAoU5*^3IduR!R8|d|C7fd?^gD<@WFK zKTvkrd08$2YVZE5I_mu&`v#wWE(V{ZJg!OQAAeE*)lmB{Vt^y*f63L)zf-IJaqH6f z1MD9v05!n(zh(zN4Bm(Tpuhb9+xUy>e|hr0+5S;{1+^#Tq)aM^C^vY5645Aj3n9g> zR04y%m`MU&A+1|=i+-#O_G1Zf-%@^?d=avX@OD^x+lw7&mY0 zXQFg7QAe7FfYb}?iVk822Qv;5B7eBg*8&zJg;b}2*k`bZ!`UjqX*%-8$c9V`cHnO5 z;~WvnWRLn==%Vnq21QFqk&8^E>7rXfIS~k@t~>r#ADLC=HzOg1T0tVfGylk$A`MSj zVZFghEWfj&idbZ)Wb9AC-Kso-f_I4h5H}QZ zhI6+9r)G1)uv#3_jk-!_jgrIxNm1i=m|UgB5F^BpXmLS*|FShgBt)}-u!4f*T>-yW zyLBH(g7oQ#bhm()x41-%h)P}te)dHD{&T_)|9mfM$%;NbYNLmMXzSLM2an}|4 z`O+rR)pOIMw!)&RhG!?qCaX|-;ufWaR%cw&86|SZKyd|wa{p{?7U09loy6j{`yk2! zCTW_@*5XG7hBRZk7u;4kf+ur=8w`<%t0a3L;hXJon6PDbZ&qGQ=dR%ct?4p!uvH5< zDNeOX)Mmw;%qf9m@0e!|L_2%71}ka%>?4&v`G-ZcL$5?8kTAIRZp>2hRoZ>t8ok># zDAKB+%M$;Ps_suxSJoa1=*&aMl^8j9P(32xUE48xunfe485{#U1MVO zT}nZXFWCeN5*TWxE>AdEz;UM?sgB0hMQ|t*beKvYsL(w~7&8%z8Z_t`2*YI@R>m{9 ztD^>0bb6J|mP)XrQ`!}~^$-XrhiC51W|ABfTmLqr5J4KvJc#e&Sg_l*+wn#uZG!%s zHF33U+uWN-8~a(*P*R`BF#!&#L<&*id@F29(aI~Y%JEy%0!~#bU8P`-GDSQ5goZ62 ziW<{}-gu6^d&iApftzqI<>9Idc)rY^17>pbY=aNGt zb5iEA{IdG-d3|FV%S?L;N|r4Jh73`h*6*7h7d!8pQN0bu!BV4R&H_dAEADXPlfl=c zJHx87N4XR5x(N+d!u!MqeH}9kYODAI;boKc;Z?Db&cT6z(#}^sHNvaEZ~<9-Jj?8WVQq zzS%gf#n|C^>qW`ImfvER=7zeG`gV`z6w{jpbe|N)eg#VmS|Bh*!^$f>O;?(5w{WV@ znvA+c%U{4-?_R@bONe(20wvHVuCs6*D$xwxGyZ`QX4Hx}}Jvga##(7ZvTbaOQ<&Bs6L;leK#BgmUA=nsgL1a)6X%l9mVB-Gf7i zTYiA2=#T`>TBokaLw!c(CKNDh!V#{xqe~x}RHXTv852$v2oLv1-YbTJKskdz(He@A zfP($GzK^%LW|hYvN!6sZ-ZuO@CnBmO{qcfkNMl{9oeEE7c2F-XI|I|WmHC~yOc9}UaWMa{R*lNT(MC|7rrn<@7*kTvF-&YV2?0MC>`lvA3Zv_qbZ6O+ zEEmf+3wW|~DYNmf#VJXH`g1Mia(I>xpe#E-^OnWhWw?y(**=x$K=4zCI&z99pJWx?felchm%89L*OGoC?B2Ns^)|$)cM%O3|yDZs~tznrUFQ2H=qa?zH{9N z#pA4va(SXqOW{qE_Jdp}wKqZ&doYMcZsrSo07-@B(p^&~zW69PT#O0c&#K8Ugjp^t zLOAb3W^CQao6oQxFNuy(2&l^nr8BNl^Vx<7703tCZ@>1$uu>uIO@sa)NF7|PeR`N)GYaJJ$O zAC$xUSDzZ1*kzrMeU<6{{UOVU_w^Q!w%~b&bokXDFSZ2AOK@}k6A#$vESZjX+jx~# zi2j^S8~18qx(-q;L3}bmm|G))i{pc0?=D8K`*-zys9GT1s66J}6nU;hpyh|7wF@9P zMo7c|iEN$E&1!Cz%`i^ri0xhjc zP~hCXdky_P5?%%p$n1MxwG*nE%Wh%zPh%D>J3}Cnoo4^Wb`{nHk=E_@O$YK7E=m# z&z*JAnzUL8{4Vqt1ld3vkat(qfad2(#VIsINtO%gwX1-byD% zA~QT)jU5;!ZBYss4+6ZkFdN9upRAe9lN@V3a7`j}xl7fFtoruR3JYfY2(bKFc=ufw zMcgqrU%nEpd;=8Y<-1W^jb(b?EC~T9v-KvAqwILrBKZH*Yt$-H$5>}`L6kXI6+cdg zft9}W;GZ}#GIz8KL~;S22Y!h=u#DT-PYGFq;lhwdW&Uzg#67kDcU5k+wUtf@0E$eE znQyku61UyiaHSqFW~5~PvzgKzyL!(StdqUefsf&28#fJ z^!Vsv=Kmt*z$~WICiVM%B`a-ER)(8hU5_?nE1AcmgVOUR3fDQP%gwaQ!lU_;dM)VQ z{hh|_5)Sya@c`REKl*uRq1TGF_@5;hg+tsiR*QNVzR~*0&}Q_x(O_3xV{^G7^ruBs z^;@4zk-=83+7hkshym7qdbB0t;wdFZVTSwO9`z{jq9)Cx(23C!&Mq6d1_Xm5>%N$nyzWIO4jZ54;+2HmiBgMm^%Kl#ihn4=tM6BT_pxhoVrc;-W9wyD6VK?mKQ+g_Wy3Q^`b2}Pf z0a>$9J}-nrYG9to9!VyUA(g-flnTQna^?NBLi6ZDhs~un-O%gKD>+~_Y;+weoBxSN zr!CRMSK^K~`?Iz!61srbb?uc+_<)Z#l6M%os^`U5vTq=jQnx0pdXdHPg+K3THV>QE z@diCI%CRnyZQypf0L#LIGw5u4J3X-D^(9KbeJGZ31K{v0dNsB>WdY49%bt`2Ck-Iw zAX9CV9f_+9d;I0JSYi&mfD#3?ry1yI3$;6!{+!Re55}sMH*P>wbgj~O0IRSL36KNq zix$4fM^+WKjoq7~Yn($Fz;&Jf0qz~>!Fo@aZev#z<5KKp^|fvB)-UbV2}fMj}vsafJ>Nk5`#uelH3%qAj=e{y-ApZ9KXxugSFqVAg#?#b_wOE8tl@~G#SH${(Z z{}jsmJHRHOQ2w>4)dZ`};jZC89q|#3cBeC+z3BX~E`{F+T=8H04Sj3eB#Z5=D!&c} z_N6WzCbJjYT_INl?x2?2U^o77;x-=RIv+l`8%`CN9v_*y+<4n7yRMY}X4b>>IY1xl zv$Hx>|!b-Xk4^EU-^L(kwUcyI#Xxv$Jo>{xp_Vg&27cVK>u- z_HQV8X zv1^;$5LO`@^}%`{Sl_!Z_O3Je|5nPS!#|Z0vhhLIXfhn6%*FP{mkp!Wt;YOjrlq>0 z%TT^gAwawSoS;<@J^ylw@t-uDUk!2pYtPng?lt4K`1!jGzDKC{SC7ZW*MYa-zu+w{ zFFh`w(>6AbJx3Q`hi%M`;gPynv+YGLO{frnZ>}I$C57~5iRy@T8bmFV!e&*<_EXU+ zek+qWhY6lZl}bWK2%afcN=AkXVx^QyMurQn+RMi-QzO(qH__LM5bem{jP`4*C4PFQ zAV{#-G!B>Lj3^N`jh5L`E0Hvfl(oO6qlC4?>nbSd8l-5EUNUE!t=;fDmzrW~7;m`j zIez++1=!@raG6sKT-SM&F0MYARDP$yHasDlw$sAwl2{*Kimp<(*p+4(Qn3P}abnPE zYc;Qo;#kMhX@qD!XSr>dt6W@dIb#`8vP#oBIdENhFE;;2T3z$RxYK!jQ61Bzm95i! z?nIvDwz0ewAU!v6t(4AOmF{24Ed6V~HD7lBBK!7t`N&4bYnNL^w5vjD`}szds<9Up zHWTh`bpPy#t7!l`^bsuwr0E#7Ux2|@?MII(#T?-4AC2YySrK)Wdav4jr>c*MfsQo+ zOQ(V6nB=}~jdGva{;b1qViQw@_#n<-S81a%d%}{3rmM<@^%}OSQW2r~lP`%(S_T|- z8_rSIRoCXATBiQVQgSMG&2TZoFL46OLyPV1aTQj>Yy00l2scWa*VcB=nN((ZCZTrk zLa1SkRylg9KNO0pB6)5g2RD9&)+XNYre&^JG-9gGPho3So?RK?(y&`KIx)D)V(r91 z{P1Zj1nxE@z>cma#^AYiIf`WFdYgb0&UH-y@nXl^l<8DHhQr5D@A3D}oY;u*DUKsa zjee-s^@GWbw~bJBMqtU*!`}1!N>AtEt%xM16K~^0wqw8-Al;%{l5^|8&I8qS>Zlw| zz^3Ip2clXdRa|e6HgfErK{M=M$)1_GD=NJ-ew?OOBLraJjU219YC%CU|CvF=rbSt7 zVbqf&ZL%*LH`i#_%8UYyc7Z|blf&OD<`WS? z?7;$h6>t7b=!^2t#!Btja9eNTa+JDqJWH((eXnptj0yFlT>ly)r(nzo6buhte0ZK_ z#sofM)S{%fhG=;K4u%ONF3;Ib@-64lCF4Vzgk%ShFMxxI;TG%tqcwo4 zo_uqG9%hp(k6MVg(qJ2E4-}}Q=U|5EgmqZgFD$NEgm%Q{egtR=N=I8~X?Fx^N=H}X z&@{M2+Op%Qu|tayzs%N-(OX1`eIxHDVRk7!D%_OY%HIMQc(Q^bb)VuCWWHa^! zZQlg6GHm`ty%N^HHqK^2hYd+jUnsqfk{8a5Jv-ROHUNo|UhTUMRf#{F2sr~G!JdG& z)sy4XM{K|9Gx%jOtWwfU5&RZJRD7dR6g<@E>g~$Np_Iv*A<7rb+QtLar0%HVYzSr~ z+o1?&MZxrQ9Q;`3JcUX$<|~8mq6mHFX$TadhRCgk>2GF`ua{`)r7yM;@i$J8=Gjv2 zT?LP81+bzo-Dh{e$8fl9GhOwFBKhr&4^H8_p!WMy-De=B6+Hv=>lxyr9t>{`p?!yi zf&zY%8^4n()!pgSu@`!u$veX0Il_ZC?qdaIOfFCE)8qxr*daMAY{GkjD)^A=iZCd0 zG0KFMN$@w&i=0)C`+8j}%@@|$XhtDz8tLIXy^8>E78{t_PNh(piC0P0Z zqjWH3YDmGumWVqdEpu4hpt{V+yijnfcaClh!&%HcUvG;g+5=OM$uT{eXwB6HU0=E zkmKEt`v%^UY>qTLux@xHBte4=``iNG1n;ZeA*mDMTTs)=*{LrjmidDp%A1%K=1ARr z+#2x=4;@Hb=QjTbw}gvdxEOd?y-JO&-2**tqA9sxpVju}`U5H@|djA>|~ z=1-!=L{DD(ue0R!fr}-^XoB;4g0qhP1Zz>EX&kbK>F{ERAmNrw1ZK*-vDCsuQeVmH zRzeG|xrIQZL@|CV81f3;#Bvh64Kg54CD4c)b1LMfMVU~@L|jV>nK5ORB3S`Aywx3O zUUEs^gfIfs;ZSIHj8%EVIq+fuWZ{;~1ZMq$e-wX@A*)*mEvSVPYC?}?v@8(qNU+zG ziMs&|LW@Pa;7f(ikZ^zOEL>&m1PF5u?jS8$_Uolc+EEK0FdET`zv}-_c0^C$fG{?BBG)8X-a#Fi;eQ0 zzmn(Epn4ypT>dd*yuhy!p{2O0$

#4rf^@c@-i-tB zb0LLBL4OhKx(N=g1~7 z9k6<+IVwB+Oeni9LxNo>L5m?v%OxD3ERt_ivH<%FE37$P=V*r_`8?~o z#kY3eMSt5@hwG|jf539c!eKog1pM{asihllbCu zUP5uuuvOY+k(!kNgzf;TzFx3cIdp`psU%-rX7O>kOi*z<3G^09pV~mP88cVoBb;@y ztipJpgdZibsami;%Bjj-bv3`(T?^lv*>pFtce$(#lFjyV=0xL`d~4yBc*|GntIi8O z>Q&P-g6(vXuS?fB$lEpGyk6?7&yx|&md#YMuZAV$Q$4N2*H6#RZ@&U5 zj3rp6jLVpU{63Q(wlDzvvJOgVd8)m($Hc>j7`2?c9_AVW8nrGTBc>oid9WdI*~rar zfJR&%i;;*C-V_p=BKFm57axE5fDgYRCk`yzz=lO>btzDdS>tDT(dS9{er<@Wz*}o4 zaNWcD&y|eVsn-xw+fD^E2v8*ue|-x@!*0eCwxVHFR~E`2Sj!E!>a<6L!+9U^xES_53h+% z-=(D!D0i>(P83b3*^_+?>xb=4l$YkjkMk1KqP$h&=(}U_wcnxU`8RUuaS5w;7uS@x z2K&^Cp))d-6DRCU#7NqZG|k_ZqUC5Q%8y@BPZS>RBZ^I*Ty#}Rcby-P1g@YJ~n$@u(z9sPt{%PeNDHUUHe^Kor`@J z6t2rkDjv((=QlM2ORlQaJiA5tcF^ zvey?&n|L}KOpInbHD|yLq5H&(?j-)IqRG1yj0wl8`rohEXMniB5zTgt1dJhq(%~qyr@7* zX>vLyTa1x}WOFY|e@g9rWV6gZLn5z=^crwU+m=joBWTRn2@3{2#9kHo(G^0T6WSf5 zlMK-}!~|Z#nim3&{ln-1n#c%ss>wI7ULJn!*sX&f9lkMR-G&2NUoQf4F zC!}FyLoaX&2i@8IEN82^Io7}4^6H{hFLwj|p1J@{!t#07rB#mZ8T|32b6agpm~T*E zeDqBi&RpA4`L^uq^_Ik$dr8%qd+7G>$rJgH(<3ujtzG>gs)YuiGv8&N}BweX{ zvn7!2P^osjRW@L#m7@G)}>vZv(4=30~}#Al_6ML8J&yg%qvEIi&xVUbCFoU;Gm z9j(8#159PJzuuheQjdy^j#c08{xYj^hi`{Btzl$StKpg>zKUnX?qG!>VeOWt<{i$lT9Ee4Sn(DLV*=AP%4X%-qQ z`4??<>pT^k+nTPL+{=Nz*Kg9aCA1E6zD(p?dsbgu0!n|@Y1oEUeQr(nQaj}NT%{7e z2Q0iDZEksL9^oz>lfTna;<|B--FLb6oxSMrm-k&Y^XJoA59tXjhPs*?(+A=rQ`6^! zpj8s9SWd4AFm%d|3weCWW;2J?W#T#S0jOyJYdT`@;L6bE?s>UO2;r*fiGC;D6sPq{ z72ZLs+9nK!gm)2X$T@A>7kwQ1|6HijRe0gf_srZ2QE^kfs$0452vzNlfZk~{0M*6HG7BYg+M?NH!SlZM-YnGplkfjj9Xvh+$h)}{ZO@jy>w zgT8I!^mq&;>d;lxWxJcS9eQF@7oGqH6>6Gg%%iiYIpAUc^7%ZY0r)C4-}#I3?HmmB z|>YSj>>~yvMkop|}K{$861({Vcd;D|E&~ zqA2NS6zOZZ)7X`)_m(}D+R&Vre_$vwPmvJ8Tt?W*nO@7PFw!`ST^)ymrRv^=g&^Tp zyVAsam>ZYMV6WGLx;Tdim|W@%9l=U^&>_Ek{Nz$@A9c|dog)a`%3+<*2A2gYg~Rzh z7tZsOZE%;xszw8MfZr|!`>S}`gjnFv<5=??E_v!TFlGigqUb=6_Q51vj-VZKZ@tO& zP(N;RSnb#vjf1-8fS&U=ve;i)q*d8HxWh=a(@D+C6PmTMSjHm0amoV3z4`yIjw=mk zLrcS!k`#@tRrjfpM(s7It@b6} znW7y3>(k z0{%&5`d3#jj%rc&1frF1ZnT7&_Xl8|vo7ameS4E%_DXWZyh^I2yDB?lPJfY^Eh+M7 zYNtITc{~_kI|tR9jzW--zPHmzb^i1OVv%z}y*jy<$|61;T?6Z&2bxi>zRDzSLyK#A z$Dfp5O}@3>r`}Q5F8{C{W*Lerc@p&^W+|b|BLEPa{uq_U?r+rg{kf$^RG(Tf^O=I+ zer9b5r75+caTt`>^AOd_tO)4noEHz2`zf}VSTvkhzj1cBPwNZ%*M{YHgD2HK8KRqr zMRmQSduJL#TCka;fv(^8(oX7uw5G~~nG$sl0S1Rw#Xbi@kOFWCxTFWFNtoMXgr8cs@iM45`d zimVVS^e^Th3_75M$!f7L6EM=iM3|*pA%*XfecQCV=Es*PLS5!e7@DQkOYOSMO|mb6 zHg2`jy7`KbZ&F-ZRZGn^g}88;Br*nhQM(6oohaT;ul$%j^){Q3n7LduU5EgtZ##L+ zhY1!u;t@bzM{q-g_^eXFE<046OR*-qPnLqF-+QxPC&Ut)vVLYK(;#_dM>^;hCpDG# zi!ty4bU=;oIUxeU$Inm=-6kadqFBKT4Y|!BcWyud zeT_`9I8AL)B(2c7doc9h2 z=EUyNoud~?8tJ7?IB7r(a8dULP|z=!O;_|!PD<{6Wx~x# zV#rE7W6P?cZ&gU*tla*&`V0;kPc>;_l2QgJ9aAyllz^`>h4C z^>6GCJwv7Jr2?~c7?3xLvMPT!bbvIs$;h#^lj@4KF{u+*uG5LM+NPC~AV|9nS0Tc( z+$uDV^&2KpOPIf64>vL-paX?0q%O|&C#RZ%4+CHOp#kkad&83GopVli={%LDEfoiO zBI@EpYG*rAeUzKO&=itq-*+IdMNrQnt2Mz)$!0HY&b(%NjnTM^Ep{iHkyyli5BMD2 za@h`t_=fC1tg~^PBs0dM%DQo#$Yq3rxCEMjIDb*=SI6kpdo!OXSVdedb>Zqf#08oI zEK?i2A$^7ROC-^ zo}@KIhlp`i>$1bm*ZlwdpnKeqD>@Mlc`nl*TcoYqsgx^1dcJXI>_$P@QkQWK;KL0_ zkP~SYK8HK1YJPTZq4S7Q60ZGqV~xmqI%PU>IQyh~jrQ?L+JUBuXi$P%w_T!LTvk|w zq{Hr%NWZq-6T{2c8qLiYU`oHiFUn_(*8QkUU)D8O4z>=^`a4?(x#8}0{y9CeLpgoY zjGki8Z-RdfoFu|ZRkA>}hvd8C9P0gAzU3+U<6|#63%aW3M@?Ti;)j!W(@~mJa!H<9zY9|89Z&^LZvc zI<8CsLyoz&Pu0IvT?`Vl%nhIMl^^71B`7$NOxt+_)f*ozW%#YmaDVQVWthQ#rr+v* zI$WZc^*8<$C3igMC;pq+ufsJY7rZ}x9h*rOuc{I{3M%1RWiu1R zAYbI>=IObC$o0*rwaqBOIW7*E4JX$z;Qx78v6(nHkGCJ=KOC)20#7ZAk9AE?(n|3tC4zuCmJ + + + 2c00000002000000020000000083ffff0083ffffffffffffffffffff0800000008000000f8030000c6020000 + + + + + + + + + + + + + + + + + + + + + +